高虫虫的笔记 https://bbs.21ic.com/?440779 [收藏] [复制] [RSS]

日志

xilinx ISE下设置modelsim 仿真

已有 1737 次阅读2012-2-10 01:30 |个人分类:FPGA|系统分类:嵌入式系统| ISE, xilinx, modelsim, fpga

第一步 安装好xilinx ISE,安装好modelsim,本人安装的是modelsim 6.2b,路径为:C:\Modeltech_6.2b。


第二步 在ISE中设置与仿真为modelsim.


    打开ISE,点击EDIT/Preference菜单项,如图



在对话框中选择Integrated Tool标签,此选项卡用于设置modelsim的路径,我们将路径指向我们modelsim安装目录中的modelsim.exe即可。


第三步 编译xilinx仿真库


   在modelsim发行时没有带FPGA厂家的仿真库,所以我们要自己编译一个。


  3.1  先在modeltech目录下找到modelsim.ini,将其只读属性去掉


  3.2 在windows中,点开始/运行,输入CMD命令,则打开了DOS窗口,执行以下指令:


  cd C:\Modeltech_6.2b


  此处为modelsim.ini所在目录,以上指令为转到C:\Modeltech_6.2b目录


 3.3 开始编译 输入指令


  compxlib -s mti_se -f all -l all -o C:\Modeltech_6.2b\xilinx_libs  -p c:\Modeltech_6.2b\win32


其中红色路径为我们放仿真库的地方,运行此指令后modelsim.ini也自动做了处理


 


 


 


路过

鸡蛋

鲜花

握手

雷人

评论 (0 个评论)