今日: 3|主题: 14089|帖子: 104055 收藏 (178)
[Quartus] 通过usb连接em770w attachment
2013-2-20 16:29 2 1414
[Quartus] 请问FPGA 上电要10几秒才正常工作这正常吗? attach_img
2013-2-18 20:25 13 3174
[Quartus] 基于OpenBus系统的FPGA嵌入式设计与实现
2013-2-17 18:44 9 1809
[Quartus] 基于FPGA的智能温度采集控制器
2013-2-12 18:48 10 1681
[Quartus] 基于FPGA的主从式高速数据采集与传输系统
2013-2-3 18:29 5 1421
[Quartus] 基于DSP的FPGA卫星测控多波束系统设计
2013-2-3 18:28 4 977
[Quartus] 基于FPGA的一种高速图形帧存设计
2013-2-3 18:27 2 1172
[Quartus] 基于FPGA的数字选频器设计
2013-2-1 13:30 5 1373
[Quartus] 基于FPGA的智能卡控制器的实现
2013-2-1 12:58 3 1658
[Quartus] 如何使用ise13.1 IP核生成锁相环
2013-1-31 15:48 6 3705
[Quartus] MCB中的数据分配
2013-1-30 20:03 8 1517
[Quartus] DDR3的CLK和CLK#的端接问题
2013-1-29 11:37 7 8664
[Quartus] FPGA时钟引脚问题?求指教。
2013-1-28 22:21 11 7505
[Quartus] 在SDK中读写DDR2的问题
2013-1-26 14:57 8 1680
[Quartus] xps添加用户自定义的ip核时出错报错
2013-1-23 20:26 3 1909
[Quartus] 基于XilinxFPGA的PCIE接口实现 attachment
2013-1-21 10:56 2 1257
[Quartus] Xilinx FPGA的嵌入式系统开发过程
2013-1-15 23:27 4 2483
[Quartus] 软核不运行,急 attachment  ...2
2013-1-15 12:24 23 3787
[Quartus] 该怎么弄?
2013-1-8 23:44 5 1232
[Quartus] 基于FPGA的高速同步HDLC通信控制器设计
2013-1-8 20:35 6 1623
[Quartus] 基于FPGA的传统DDS方法优化设计
2013-1-8 20:25 3 1165
[Quartus] 基于PCIe总线的超高速信号采集卡的设计
2013-1-8 20:21 3 1132
[Quartus] 求二手Nexys3 Spartan6 开发套件
2013-1-6 20:36 4 1596
[Quartus] FPGA的宽带步进频率信号源设计
2013-1-5 23:00 4 1612
[Quartus] JTAG的TDI引脚先接FPGA和先接FLASH有何区别?
2013-1-5 08:03 3 1591
[Quartus] FPGA与DSP信号处理系统的散热设计
2013-1-5 07:52 3 1098
[Quartus] 我与XILINX的2012-综合的简叙 attach_img
2012-12-29 00:40 8 1553
[Quartus] 请教问题,关于FPGA管脚定义发生改变 attachment
2012-12-28 09:23 16 5524
[Quartus] 基于SRAM的FPGA连线资源的一种可测性设计
2012-12-27 21:29 3 1432
[Quartus] Latency 究竟是多少? attach_img
2012-12-25 14:30 11 1644
[Quartus] 关于时钟使能的疑问
2012-12-24 11:32 9 1578
[Quartus] modelsim 10.1a 和 10.1c 有哪些区别? 谢了!
2012-12-24 10:40 4 2939
[Quartus] spartan6 一个BANK 支持两片DDR2不?  ...2
2012-12-24 00:09 39 5814
[Quartus] 哪位大侠会FPGA和SSD硬盘通信啊
2012-12-18 12:24 1 1114
[Quartus] 基于PicoBlaze的计时系统设计
2012-12-12 20:10 2 1140
[Quartus] 基于FPGA的三相正弦DDS的设计与实现
2012-12-12 12:14 1 1199
[Quartus] 求助 关于硬件调试
2012-12-12 00:40 3 1126
[Quartus] 输入输出位宽一致的复数乘法器实现
2012-12-11 16:53 9 2633
[Quartus] FPGA+DSP多通道数据采集和处理电路的时钟分配问题 attach_img
2012-12-9 13:07 14 2419
[Quartus] 请问spartan6跑软核的时候 为什么发烫?
2012-12-8 13:31 8 1859
下一页 »
快速发帖
还可输入 120 个字符
您需要登录后才可以发帖 登录 | 注册

本版积分规则