今日: 7|主题: 14092|帖子: 104064 收藏 (178)
[verilog] 三段式状态机的第一段和第三段可以是不同的时钟域吗?
2014-8-4 09:25 0 1113
[verilog] FFT核做8192点数据,但是仿真结果和matlab的结果不一致,怎么办?求高手帮忙
2014-7-21 22:25 10 2444
[verilog] 关于verilog状态机 attach_img
2014-7-9 19:08 5 1365
[verilog] 新手才学verilog,请问一下Verilog中有类似于C语言中while(某变量);这样的语法吗
2014-7-8 00:03 3 1088
[verilog] 各位大哥 能帮小弟看下这段程序啥意思吗?
2014-7-2 09:33 2 1091
[verilog] 关于编码规范里不允许用锁存器
2014-6-30 18:28 3 1548
[verilog] Verilog的按键消抖与松手检测如何做到,求给个思路
2014-6-30 09:03 8 3095
[verilog] 语法报错!always敏感信号对其内部if语句的影响?
2014-6-24 17:09 5 1465
[verilog] 按键扫描程序的问题 attach_img
2014-5-25 00:49 7 1086
[verilog] Process will terminate 求解决 attach_img
2014-5-7 15:19 1 2191
[verilog] fifo最后一个数据读不出来 attach_img
2014-4-21 10:49 4 2317
[verilog] 求一些设计题
2014-4-12 08:59 3 837
[verilog] Verilog HDL语言中可综合问题
2014-3-28 12:32 2 1095
[verilog] 如图所示,这种情况怎么完成16位数据的写? attachment
2014-3-11 10:59 5 1005
[verilog] 对一个有32位的reg变量赋值,每次只赋一位怎么实现? attach_img
2014-3-6 21:07 5 4618
[verilog] 写法不同综合出的结果有什么差异
2014-3-6 18:48 2 1272
[verilog] 赛灵思全球首演内置 28Gbps 收发器的 Virtex-7 HT 系列
2014-1-7 21:24 2 1805
[verilog] 新人求教,,怎么考虑用高斯消元得到一个下三角矩阵
2013-12-23 10:32 0 918
[verilog] 请教一个问题
2013-11-28 13:10 11 1253
[verilog] 一个时钟下降沿计数,经常检测不到下降沿 attach_img
2013-11-27 15:42 9 1590
[verilog] 谢谢
2013-11-13 23:16 5 1844
[verilog] 谢谢
2013-11-1 16:42 7 1149
[verilog] ZedBoard Schematics attachment agree
2013-10-31 11:00 11 1633
[verilog] 代码分析
2013-10-23 14:32 10 1314
[verilog] Verilog 2001相关
2013-10-18 16:44 0 945
[verilog] please use verilog to implement the programmable _nT_pulse function
2013-10-9 09:51 0 1135
[verilog] 新手请教,如何在工程中使用行为模块?
2013-9-27 12:55 3 974
[verilog] xilinx verilog 编写IIC程序,从机TCA6416APW,求高手指导 attach_img
2013-9-12 21:18 1 2002
[verilog] 高手们是怎么使用并行思想去设计模块的?
2013-8-26 06:12 9 1371
[verilog] 一个关于AD828的驱动程序,求各位大神指教指教一下
2013-8-18 16:14 9 1492
[verilog] verilog是否有record类型
2013-8-14 23:15 1 1291
[verilog] 急求智能小车上的电子罗盘MAG3110模块的程序代码
2013-8-12 09:59 0 1905
[verilog] 悲剧啊,安装ISE 14.1后,EDK里面没有ARM-XILINX-GCC这个工具?  ...2
2013-2-28 20:40 21 4969
[verilog] Xilinx 7系列FPGA使用之CLB
2013-2-20 20:15 4 1420
[verilog] 7系列FPGA数据手册下载 attachment
2013-2-20 12:03 8 1921
[verilog] K7 IBERT扫描测试中,UI是个什么单位?是什么意思?
2013-1-5 08:51 4 2739
[verilog] SERDES運用有問題
2013-1-3 12:00 6 1958
[verilog] 我与赛灵思的2012+相知相识到相恋
2012-12-29 00:37 5 1480
[verilog] 为何选择Zynq-7000 All Programmable SoC
2012-12-27 21:33 1 1105
[verilog] Vivado HLS built-in library
2012-12-12 10:45 14 1789
下一页 »
快速发帖
还可输入 120 个字符
您需要登录后才可以发帖 登录 | 注册

本版积分规则