打印
[Quartus]

求助关于QuartusⅡ仿真基带码发生器的问题

[复制链接]
1456|1
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
qqdxx0614|  楼主 | 2016-4-26 23:02 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
其实有蛮多问题的,哪位大神能一次性全部解决了那就再好不过了  
1.基带码发生器在QuartusⅡ中仿真该选什么器件,我只需要仿真出波形,我用的是15.0版本
2.如附件是VHDL程序,还需要设置什么参数
3.我将程序编译后,在MODELSIM中输出波形,中间有个小框  最后提示如下
# //
** Error: Error while reopening stdout stream! Error: couldn't open "C:/Users/ÀîÌÎ/AppData/Local/Temp/VSOUT00mtzw": no such file or directory
** Fatal: vsimk is exiting with code 7.
Exit codes are defined in the "Error and Warning Messages"
appendix of the ModelSim User's Manual.

Error.   怎么解决?  我MODELSIM在C盘安装  QUARTUS在E盘装着  和这个有没有关系

相关帖子

沙发
qqdxx0614|  楼主 | 2016-4-26 23:03 | 只看该作者
CFM : out std_logic;                           --差分信号输出端
CMI : out std_logic;                           --编码信号反转码信号输出端
FXM : out std_logic);                         --分相码(曼彻斯特码)信号输出端
end HS_UJDM;
architecture Behavioral of HS_UJDM is
begin
process(clk,start)
variable latch_dat : std_logic_vector(15 downto 0); --十六位二进制信号锁存器
variable latch_sig : std_logic;                     --高位信号锁存器
variable latch_cfm : std_logic;                     --差分码信号寄存器
variable latch_cnt : std_logic;                     --基带码同步信号
variable count_fri : integer range 0 to 8;          --分频计数器(码宽定义)
variable count_mov : integer range 0 to 16;         --移位计数器
begin
if start='0' then latch_cnt:='0';                    --异步复位
latch_cfm:='0'; latch_sig:='0';
count_fri:=7;count_mov:=16;                          --异步置位
latch_dat:="0000000000000000";
elsif rising_edge(clk) then count_fri:=count_fri+1;  --分频计数器+1
if count_fri=8 then count_fri:=0;                     --计数到8
if count_mov<16 then count_mov:=count_mov+1;          --移位计数器+1
latch_sig:=latch_dat(15);                    --二进制码高位移入latch_sig 中
latch_dat:=latch_dat(14 downto 0)&'0'; --二进制数据向高位移动一位,低位补零
else latch_dat:=dat;count_mov:=0;                    --载入下一轮将发送的数据
latch_cfm:='0';latch_sig:='0';latch_cnt:='0';        --寄存器复位
end if;
if latch_sig='1' then latch_cfm:=not(latch_cfm); --差分码信号寄存器中信号取反
end if;  end if;
if count_fri<4 then latch_cnt:='1';               --基带码同步信号的占空比调节
else latch_cnt:='0';
end if;  end if;                                            --码形转换部分
NRZ<=latch_sig;                                    --非归零码信号
DRZ<=latch_sig and latch_cnt;                      --单极性归零码信号
SRZ(0)<=latch_cnt;                                 --双极性归零码信号
SRZ(1)<=not(latch_sig);                            --SRZ(1)=‘1’表示负极性
AMI(0)<=latch_sig and latch_cnt;                   --极**替码信号
AMI(1)<=not(latch_cfm);                            --AMI(1)=‘1’表示负极性
CFM<=latch_cfm;                                    --差分码信号
FXM<=latch_cnt xnor latch_sig;                     --分相码信号
if latch_sig='1' then CMI<=latch_cfm;              --编码信号反转码
else CMI<=not(latch_cnt);
end if;  end process;
end Behavioral;
这是程序

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

1

主题

2

帖子

0

粉丝