打印
[热门应用]

已结焊接好了,看图,准备点灯了。

[复制链接]
895|7
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
zhaor|  楼主 | 2016-10-11 16:01 | 只看该作者 |只看大图 回帖奖励 |倒序浏览 |阅读模式

准备点灯了。
沙发
zhaor|  楼主 | 2016-10-11 16:01 | 只看该作者
本帖最后由 zhaor 于 2016-10-11 17:24 编辑

程序来了。
#include<KF8TS2716.h>

#define uchar unsigned char
#define uint  unsigned int

#define OUT 0
#define IN  1

#define                FLASE                        0
#define                TRUE                        1

uint    TimeCount1=0;


#define                    Timer0_Init_Value        7 //8分频的计时。8M一个机器周期是0.5us, 计数一次4us 4*250=1ms
/***********函数声明*******************/

#define LED P3LR0                              // 对应Demo板上的D3
//#define S3          P15

/************************
* 函数名     :init_fun
* 函数功能:初始化函数
* 入口参数:无
* 返回          :无
************************/
void Init_fun()
{
    OSCCTL = 0x60;                           //设置系统时钟为8M

        /*********端口初始化***********/
        TR30 = OUT;                                                 //设置P03端口设置为输出

        // 定时器相关
        OPTR=0x42;                                // 使能总若上拉,8分频的计时。8M一个机器周期是0.5us, 计数一次4us
        T0=Timer0_Init_Value;       //1ms
        T0IF=0;
        T0IE=1;
        AIE=1;
}
//主函数
void main()
{
        Init_fun();
        while(1)
        {

     }
}
//中断函数0:0X04入口地址
void int_fun0() __interrupt (0)
{
        if(T0IF)
         {
                T0IF=0;
                T0=Timer0_Init_Value;       //1ms
        if ( ++TimeCount1 == 500 ) //0.5s
        {
            TimeCount1 = 0;
            LED=!LED;
        }
     }

}



使用特权

评论回复
板凳
zhaor|  楼主 | 2016-10-11 23:20 | 只看该作者
好冷清啊

使用特权

评论回复
地板
EthanHen| | 2016-10-12 09:41 | 只看该作者
楼主,内部上拉只对输入有效,输出无效哦,还有如果设为输入并打开内部上拉,除了要设置OPTR寄存器,还要设置PURX

使用特权

评论回复
5
shauew| | 2016-10-12 09:57 | 只看该作者
咋这么快就到了?

使用特权

评论回复
6
JY-DX-JY| | 2016-10-12 10:53 | 只看该作者
怎么只有仿真器?没板子吗?

使用特权

评论回复
7
zhaor|  楼主 | 2016-10-12 13:05 | 只看该作者
有板子,没有原理图,不好玩。

使用特权

评论回复
8
EthanHen| | 2016-10-12 21:03 | 只看该作者
zhaor 发表于 2016-10-12 13:05
有板子,没有原理图,不好玩。

原理图随后我们发布到论坛上,请注意查看哦!

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

41

主题

345

帖子

0

粉丝