打印
[verilog]

38译码器源代码分析

[复制链接]
1047|0
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
zhangyuhua|  楼主 | 2016-11-24 21:37 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
38译码器源代码分析

     38译码器就是指3位二进制数通过电路转换成八路不同状态的输出;换句话说,3线转8线的译码器。

下面是它的源代码:

//------3-8译码器是指3位二进制通过电路转换成8路不同状态的输出------
//------3线转8线的译码器-------------------------------------------
module decoder_3to_8(
                                                key,
                                                led
                                        );
input [2:0]key;
output [7:0]led;

reg [7:0]led_r;

always @(key)
        begin
                case(key)
                        3'd0:led_r=8'b00000000;
                        3'd1:led_r=8'b00000010;
                        3'd2:led_r=8'b00000100;
                        3'd3:led_r=8'b00001000;
                        3'd4:led_r=8'b00010000;
                        3'd5:led_r=8'b00100000;
                        3'd6:led_r=8'b01000000;
                        3'd7:led_r=8'b10000000;
                        default:led_r=8'b00000000;
                endcase
        end
assign led=led_r;

endmodule



相关帖子

发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

14

主题

26

帖子

1

粉丝