打印
[verilog]

跑马灯

[复制链接]
707|1
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
hllh|  楼主 | 2016-12-20 21:32 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
module ledwalk(led,clk);
input clk;
output [7:0] led;
reg[7:0]led_out;
reg[25:0]buffer;
always@(posedge clk)
begin
     buffer<=buffer+1'b1;
     if(buffer==26'd25000000)
      begin
           led_out=led_out<<1;
           if(led_out==8'b00000000)
            led_out=8'b00000001;
      end
end
assign led=led_out;
endmodule

相关帖子

沙发
哎~呀| | 2017-9-16 10:40 | 只看该作者
仿真不出来啊

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

1

主题

1

帖子

0

粉丝