[VHDL]

用VHDL来编写rs232求大神指点

[复制链接]
1267|3
手机看帖
扫描二维码
随时随地手机跟帖
zooweemama| | 2017-4-19 09:41 | 显示全部楼层
共勉,我现在还搞不懂rs232 口和FPGA是怎么连接的,上位机数据又是怎么组织的。

使用特权

评论回复
asdGJD| | 2017-4-21 16:05 | 显示全部楼层
整理下思路看看能不能说的清楚
首先假设你的串口通信是基于PC端和板卡之间,或者带处理器的板卡之间,为了方便说明,就以前中情况为例:
数据来自哪里?由PC端上位机界面进行操作,也就是你能手动输输入设置的界面,通过驱动软件(可以将上位机软件当做驱动),经由COM口,串口线,传输到板卡。在传输的过程中采用的协议就是串口协议。
假设PC机位客厅,你现在需要将客厅茶几里面的碗筷放回到厨房。碗筷就是数据,你放置过程中的行为就是协议,或跑,或爬,随便怎样你开心就好。厨房就是板卡,到达板卡后,要么板卡上面有同样的串口,
要么有别的结构,别如说PCI或者CPCI,随便什么,通过相应的转换芯片,从而保证最终输出的数据为串口数据,然后连接到FPGA,就这么连接的。驱动同样是遵循串口协议调用函数进行操作,太详细的我怕说不明白。

使用特权

评论回复
他强任他强| | 2017-4-28 23:41 | 显示全部楼层
三楼正解啊,例子很棒

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

1

主题

1

帖子

0

粉丝