打印
[信息]

单片机延时方法总结

[复制链接]
3628|9
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
heisexingqisi|  楼主 | 2017-6-7 15:06 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式

实现延时通常有两种方法:一种是硬件延时,要用到定时器/计数器,这种方法可以提高CPU的工作效率,也能做到精确延时;另一种是软件延时,这种方法主要采用循环体进行。

1 使用定时器/计数器实现精确延时

单片机系统一般常选用11.059 2 MHz、12 MHz或6 MHz晶振。第一种更容易产生各种标准的波特率,后两种的一个机器周期分别为1 μs和2 μs,便于精确延时。本程序中假设使用频率为12 MHz的晶振。最长的延时时间可达216=65 536 μs。若定时器工作在方式2,则可实现极短时间的精确延时;如使用其他定时方式,则要考虑重装定时初值的时间(重装定时器初值占用2个机器周期)。

在实际应用中,定时常采用中断方式,如进行适当的循环可实现几秒甚至更长时间的延时。使用定时器/计数器延时从程序的执行效率和稳定性两方面考虑都是最佳的方案。但应该注意,C51编写的中断服务程序编译后会自动加上PUSH ACC、PUSH PSW、POP PSW和POP ACC语句,执行时占用了4个机器周期;如程序中还有计数值加1语句,则又会占用1个机器周期。这些语句所消耗的时间在计算定时初值时要考虑进去,从初值中减去以达到最小误差的目的。


沙发
heisexingqisi|  楼主 | 2017-6-7 15:06 | 只看该作者
2 软件延时与时间计算

在很多情况下,定时器/计数器经常被用作其他用途,这时候就只能用软件方法延时。下面介绍几种软件延时的方法。

2.1 短暂延时

可以在C文件中通过使用带_NOP_( )语句的函数实现,定义一系列不同的延时函数,如Delay10us( )、Delay25us( )、Delay40us( )等存放在一个自定义的C文件中,需要时在主程序中直接调用。如延时10 μs的延时函数可编写如下:

void Delay10us( ) {

_NOP_( );

_NOP_( );

_NOP_( );

_NOP_( );

_NOP_( );

_NOP_( );

}

Delay10us( )函数中共用了6个_NOP_( )语句,每个语句执行时间为1 μs。主函数调用Delay10us( )时,先执行一个LCALL指令(2 μs),然后执行6个_NOP_( )语句(6 μs),最后执行了一个RET指令(2 μs),所以执行上述函数时共需要10 μs。  可以把这一函数当作基本延时函数,在其他函数中调用,即嵌套调用[4],以实现较长时间的延时;但需要注意,如在Delay40us( )中直接调用4次Delay10us( )函数,得到的延时时间将是42 μs,而不是40 μs。这是因为执行Delay40us( )时,先执行了一次LCALL指令(2 μs),然后开始执行第一个Delay10us( ),执行完最后一个Delay10us( )时,直接返回到主程序。依此类推,如果是两层嵌套调用,如在Delay80us( )中两次调用Delay40us( ),则也要先执行一次LCALL指令(2 μs),然后执行两次Delay40us( )函数(84 μs),所以,实际延时时间为86 μs。简言之,只有最内层的函数执行RET指令。该指令直接返回到上级函数或主函数。如在Delay80μs( )中直接调用8次Delay10us( ),此时的延时时间为82 μs。通过修改基本延时函数和适当的组合调用,上述方法可以实现不同时间的延时。

2.2 在C51中嵌套汇编程序段实现延时

在C51中通过预处理指令#pragma asm和#pragma endasm可以嵌套汇编语言语句。用户编写的汇编语言紧跟在#pragma asm之后,在#pragma endasm之前结束。

如:#pragma asm



汇编语言程序段



#pragma endasm

延时函数可设置入口参数,可将参数定义为unsigned char、int或long型。根据参数与返回值的传递规则,这时参数和函数返回值位于R7、R7R6、R7R6R5中。在应用时应注意以下几点:

◆ #pragma asm、#pragma endasm不允许嵌套使用;

◆ 在程序的开头应加上预处理指令#pragma asm,在该指令之前只能有注释或其他预处理指令;

◆ 当使用asm语句时,编译系统并不输出目标模块,而只输出汇编源文件;

◆ asm只能用小写字母,如果把asm写成大写,编译系统就把它作为普通变量;

◆ #pragma asm、#pragma endasm和 asm只能在函数内使用。

将汇编语言与C51结合起来,充分发挥各自的优势,无疑是单片机开发人员的最佳选择。

2.3 使用示波器确定延时时间

利用示波器来测定延时程序执行时间。方法如下:编写一个实现延时的函数,在该函数的开始置某个I/O口线如P1.0为高电平,在函数的最后清P1.0为低电平。在主程序中循环调用该延时函数,通过示波器测量P1.0引脚上的高电平时间即可确定延时函数的执行时间。方法如下:

sbit T_point = P1^0;

void Dly1ms(void) {

unsigned int i,j;

while (1) {

T_point = 1;

for(i=0;i<2;i++){

for(j=0;j<124;j++){;}

}

T_point = 0;

for(i=0;i<1;i++){

for(j=0;j<124;j++){;}

}

}

}

void main (void) {

Dly1ms();

}

把P1.0接入示波器,运行上面的程序,可以看到P1.0输出的波形为周期是3 ms的方波。其中,高电平为2 ms,低电平为1 ms,即for循环结构“for(j=0;j<124;j++) {;}”的执行时间为1 ms。通过改变循环次数,可得到不同时间的延时。当然,也可以不用for循环而用别的语句实现延时。这里讨论的只是确定延时的方法。

2.4 使用反汇编工具计算延时时间

用Keil C51中的反汇编工具计算延时时间,在反汇编窗口中可用源程序和汇编程序的混合代码或汇编代码显示目标应用程序。为了说明这种方法,还使用“for (i=0;i

C:0x000FE4CLRA//1T

C:0x0010FEMOVR6,A//1T

C:0x0011EEMOVA,R6//1T

C:0x0012C3CLRC//1T

C:0x00139FSUBBA,DlyT //1T

C:0x00145003JNCC:0019//2T

C:0x00160E INCR6//1T

C:0x001780F8SJMPC:0011//2T

可以看出,0x000F~0x0017一共8条语句,分析语句可以发现并不是每条语句都执行DlyT次。核心循环只有0x0011~0x0017共6条语句,总共8个机器周期,第1次循环先执行“CLR A”和“MOV R6,A”两条语句,需要2个机器周期,每循环1次需要8个机器周期,但最后1次循环需要5个机器周期。DlyT次核心循环语句消耗(2+DlyT×8+5)个机器周期,当系统采用12 MHz时,精度为7 μs。

当采用while (DlyT--)循环体时,DlyT的值存放在R7中。相对应的汇编代码如下:

C:0x000FAE07MOVR6, R7//1T

C:0x00111F DECR7//1T

C:0x0012EE MOVA,R6//1T

C:0x001370FAJNZC:000F//2T

循环语句执行的时间为(DlyT+1)×5个机器周期,即这种循环结构的延时精度为5 μs。

通过实验发现,如将while (DlyT--)改为while (--DlyT),经过反汇编后得到如下代码:

C:0x0014DFFE DJNZR7,C:0014//2T

可以看出,这时代码只有1句,共占用2个机器周期,精度达到2 μs,循环体耗时DlyT×2个机器周期;但这时应该注意,DlyT初始值不能为0。

注意:计算时间时还应加上函数调用和函数返回各2个机器周期时间。

使用特权

评论回复
板凳
heisexingqisi|  楼主 | 2017-6-7 15:07 | 只看该作者

第二篇

声明:作者初学单片机编程,本着刨根问底的探索精神,对延时代码进行了完全透彻的分析,计算出其中的误差,根据不同代码占用机器周期进行调整。至于调整0.01ms左右的时间误差对实际应用有何实际意义则不敢妄谈。不过您看完这篇**的绿色部分,即可明确延时程序的设计方法。

举例程序段落:

;系统频率:6MHz

Delay: MOV R5,#25 ;5ms延时——MOV指令占用1机器周期时间

Delay1: MOV R6,#200 ;200ms延时

Delay2: MOV R7,#166 ;1ms延时常数

Delay3: NOP ;空指令,什么都不做,停留1机器周期时间

DJNZ R7,Delay3 ;R7减1赋值给R7,如果此时R7不等于零,转到Delay3执行。——2机器周期时间

DJNZ R6,Delay2

DJNZ R5,Delay1

解析如下:

1、首先计算机器周期T=12*1/f=2μs。

2、注意DJNZ R7,Delay3每执行1次需要占用NOP的时间和DJNZ本身的时间共3个机器周期。6μs。那么1ms的时间需要1ms*1000/6μs=166.67,取166。

3、注意DJNZ R6,Delay2是在166次循环后执行1次的(时间为MOV机器周期+本身机器周期,3*2=6μs),直到166*200次后,R6=0,才执行DJNZ R5,Delay1。

4、DJNZ R5,Delay1是在R5不为0的时候循环回去。时间也为6μs。

5、时间总计:166*200*25*6μs+200*25*6μs+25*6μs=5010150μs,合计5.01015ms(编程的人都遇到过类似的潜逃循环,此程序忽略了执行MOV的时间,只计算了循环所用时间,即166*200*25*6/1000000=4.98ms,近似5ms)。

程序改进:

去掉NOP命令,整数化1ms需要的延时常数。

Delay: MOV R5,#25 ;5ms延时——MOV指令占用1机器周期时间

Delay1: MOV R6,#200 ;200ms延时

Delay2: MOV R7,#250 ;1ms延时常数

Delay3: ;NOP ;空指令,什么都不做,停留1机器周期时间

DJNZ R7,Delay3 ;R7减1赋值给R7,如果此时R7不等于零,转到Delay3执行。——2机器周期时间

DJNZ R6,Delay2

DJNZ R5,Delay1

此时时间总计:250*200*25*4μs+200*25*6μs+25*6μs=5030150μs。时间占用误差反而比未改进的时候大,可修正,将R7-30150/(25*200*4)=248(因为R7=250循环1次占用2个机器周期,4μs,计算等于R7-1.5075,将时间减小到小于5ms,剩余时间另补,取248)。则:时间总计:248*200*25*4μs+200*25*6μs+25*6μs=4990150μs,需要补:5000000-4990150=9850μs,9850/2=4925机器周期。补一个MOV R4,#200,4个NOP,还需4920机器周期,将其约分,得到24*205=4920。如何建立函数根据实际代码调整,如下:

Delay: MOV R5,#25 ;5ms延时——MOV指令占用1机器周期时间

Delay1: MOV R6,#200 ;200ms延时

Delay2: MOV R7,#250 ;1ms延时常数

Delay3: ;NOP ;空指令,什么都不做,停留1机器周期时间

DJNZ R7,Delay3 ;R7减1赋值给R7,如果此时R7不等于零,转到Delay3执行。——2机器周期时间

DJNZ R6,Delay2

DJNZ R5,Delay1

NOP

NOP

NOP

NOP

MOV R3,#6

Delayadd: MOV R4,#205

MOV R2,#0H

DJNZ R3,Delayadd

解析205*24调整为205*6——这是因为Delay循环为4机器周期代码,因此将24/4=6。请计算:205*6*4=4920;4920+5=4925。时间补充正好。此时时间计算:248*200*25*4μs+200*25*6μs+25*6μs=4990150μs+4925*2μs=5000000μs合计5ms。

理论上1μs都不差(仅为科学探讨,具体晶振频率的误差多大作者并不明确)。


使用特权

评论回复
地板
heisexingqisi|  楼主 | 2017-6-7 15:07 | 只看该作者
一、单片机延时问题20问

1、单片机延时程序的延时时间怎么算的?

答:如果用循环语句实现的循环,没法计算,但是可以通过软件仿真看到具体时间,但是一般精精确延时是没法用循环语句实现的。

如果想精确延时,一般需要用到定时器,延时时间与晶振有关系,单片机系统一般常选用11.059 2 MHz、12 MHz或6 MHz晶振。第一种更容易产生各种标准的波特率,后两种的一个机器周期分别为1 μs和2 μs,便于精确延时。本程序中假设使用频率为12 MHz的晶振。最长的延时时间可达216=65 536 μs。若定时器工作在方式2,则可实现极短时间的精确延时;如使用其他定时方式,则要考虑重装定时初值的时间(重装定时器初值占用2个机器周期)。

2、求个单片机89S51 12M晶振 用定时器延时10分钟,控制1个灯就可以

答:可以设50ms中断一次,定时初值,TH0=0x3c、TL0=0xb0。中断20次为1S,10分钟的话,需中断12000次。计12000次后,给一IO口一个低电平(如功率不够,可再加扩展),就可控制灯了。

而且还要看你用什么语言计算了,汇编延时准确,知道单片机工作周期和循环次数即可算出,但不具有可移植性,在不同种类单片机中,汇编不通用。用c的话,由于各种软件执行效率不一样,不会太准,通常用定时器做延时或做一个不准确的延时,延时短的话,在c中使用汇编的nop做延时

3、51单片机C语言for循环延时程序时间计算 ,设晶振12MHz,即一个机器周期是1us。

for(i=0,i<100;i++)

for(j=0,j<100;j++)

我觉得时间是100*100*1us=10ms,怎么会是100ms

答:

不可能的,是不是你的编译有错的啊

我改的晶振12M,在KEIL 4.0 里面编译的,为你得出的结果最大也就是40ms,这是软件的原因,

不可能出现100ms那么大的差距,是你的软件的原因。

不信你实际编写一个秒钟,利用原理计算编写一个烧进单片机和利用软件测试的秒程序烧进单片机,你会发现原理计算的程序是正确的

4 、51单片机c语言 _nop_()是一个空指令?短时间延时的?空几个机器周期?

答:这个_nop_()等效与汇编里面的,NOP指令,也就是空一个机器周期,如果是传统51单片机的话,等于空12个时钟周期【即一个机器周期】

5、51单片机 延时500ms 用机器周期叠加怎么算?

答:DELAY:

MOV R7,#4

D2:MOV R6,#250

D1:MOV R5,#250

DJNZ R5,$

DJNZ R6,D1

DJNZ R7,D2

RET

假设晶振为12MHz

刚延时时间为:

250*250*4*2=500MS

使用特权

评论回复
5
heisexingqisi|  楼主 | 2017-6-7 15:07 | 只看该作者
6、51单片机C语言程序中延时函数delay的原理是什么?

现在找到两个函数

第一:

void delay(void)

{ unsigned int i,j;

for(i=0;i<500;i++)

{ for(j=0;j<121;j++)

{;}

}

}

第二:

void delay(unsigned int k)

{ unsigned int i,j;

for(i=0;i

{ for(j=0;j<121;j++)

{;}

}

}

现有几个疑问:

(1):延时函数的原理?

(2):两个for循环的作用?

(3):i、j的取值有什么规律和依据?是不是和单片机接的晶振频率有关?所能延时的最小单位时间是怎么计算的?

延时时间怎么计算啊!假如用的是AT89C51RC+11.0592M的晶振呢?

答:

1:原理:仅仅执行一些,没有实质性影响的所谓“无意义指令”,比如做比大小啊,做某个int的自加运算啊之类的

2:两重for的作用:简单的说,就像高中数学中的“乘法原理”一样,这样可以很轻易的迅速增加上述“无意义指令”的数目

3:关于取值大小:这个如果是在C下变成,这个值不仅仅与晶振、单片机本身运算速度有关,而且还与C的编译器有关,所以说,这个值虽说是可以精确计算的,但大多数情况下,程序员用的都是“经验值”——当然,如果用汇编编程,情况就不一样了,因为每一条指令所使用的机器周期是一定的,你当然可以根据所有指令使用的总时间,精确的算出具体延时的总时间

综合你的的问题,我给你一点建议,就是刚学单片机的时候,还是一定要老老实实的从汇编编程学起——这样,在你以后接触到C之后,你才能明白,这中间实际上经历了一个什么样的过程,只有这样你才能真正理解单片机。当然,等最终你完全拿下一种单片机之后,尽量使用C编程,无疑是历史所肯定的。

7、51单片机,晶振为6M,求一个10ms的延时程序

答:延时有很多种方法,有一种是让单片机去做无聊的循环,还有一种是用定时器。

第一种的算法是:

晶振的周期T1=1/f; 这里f=6MHz 所以T1=1/6 us;(微秒)

单片机花12个T1去执行一个指令,

所以一个机器周期等于12个晶振周期,

T2=12*T1=2us

10ms=1000 0us

所以你要得到10ms的延时就要想办法让机器去做5000条“无聊的指令”

所以

DEL: MOV R5,#05H

F1: MOV R6,#05H

F2: MOV R7,#32H

F3: DJNZ R7,F3

DJNZ R6,F2

DJNZ R5,F1

RET

这种方法是用于对时间要求不高的地方,我说的是其思想,程序中可能有错的地方

用定时器的方法我不太会就不误人了 (补充一下就是这个是用汇编写的,你在主程序中用ACALL DEL调用就延时了。

使用特权

评论回复
6
heisexingqisi|  楼主 | 2017-6-7 15:08 | 只看该作者
8、今天我用单片机做“眨眼的LED”实验时,程序运行,每次只令灯亮或灭都没问题,但是一开延时不能出现期盼的灯亮灯灭的现象,这是怎么回事?

实验的硬件条件是:STC89C52,编译环境:keil 3。

下面是我写的程序,请教高手!!!

#include // 文件包含处理

#define uchar unsigned char //宏定义,方便以后程序的书写

#define uint unsigned int

sbit P1_0 = P1 ^ 0; //位变量定义

void Delay(uint t)

{

uchar i;

while(--t)

{

for(i = 0; i < 125; i++) //延时1MS,在这里我们用的晶振是是12M,根据机器周期的计算,我们{;} //可算得本次循环延时约1MS

}

}

void main(void)

{

while(1)

{

P1_0 = 0; //点亮LED灯

Delay(1000); //应单片执行程序的时间很快,所以必须延时,要不看不到实验现象

P1_0 = 1; //熄灭LED灯

}

补充提问:我是让P1.0先低然后延时之后再高,即灯先亮再灭,然后开始循环的

答:应该这样写

while(1)

{

P1_0 = 0; //点亮LED灯

Delay(1000); //应单片执行程序的时间很快,所以必须延时,要不看不到实验现象

P1_0 = 1; //熄灭LED灯

Delay(1000);

补充问题回复:问题恰恰就错在这了,循环完一遍之后灯由灭到亮根本没有时间延时,即第一次循环中灯还没来的机灭呢,就进入到第二轮循环中的亮了,所以原因就在这,这错误太低级了,以后引以为鉴吧

9、单片机延时函数的问题

void delay(uchar i)

{

uchar j;

while(i--)

{

for(j=125;j>0;j--)

;

}

}

这个函数中的i,j的大小有**吗?

答:这个函数中j的大小和你定义的数据类型有关,因为你定义的为无符号字符型,为单字节数据,所以最大为255。.

如果你需要增大,可以改变j的数据类型定义,如unsigned int (2字节)可以到65535;无符号长整形unsigned long(4字节) 可以到4294967295。 而上面所所256是-1,而你定义的是无符号字符型。

10、请教一个AVR单片机延时的问题

外部晶振用的是8MHz,延时1微秒的程序如下:

void delay_us(unsigned int delay_counter)//延时1us

{

do

{

delay_counter--;

}

while(delay_counter>1);

}

请问,为什么能延时1微秒啊?

答:8MHZ表示单片机的运行周期为1/8us,也就是0.125us执行一步

你使用的是软件延时

那么包括程序的提取,执行等都要花费时间

比如,你提取这个函数可能花去一步,那现在就使用了0.125us啦

接着你执行这个函数,在单片机内部,运算是通过寄存器的移来移去实现的

这都需要时间,可能你看到的就一句counter--这个指令,可能会花费好几个时钟周期来实现

举个例子:

c=a+b,只有一句,但实际上花费的时间并不短

mov a,#data1;//数据data1放入a寄存器

mov b,#data2;//数据data2放入b寄存器

add a,b;//寄存器a的值与b相加,结果放入a

mov c,a;//将a的值放入c

这样才是单片机内部真正执行的指令,这需要花费至少4个时钟周期,而不是1个

至于晶体管级的我就不解释了,你得好好学习汇编才能理解单片机的运作。

至于这个函数为什么能延时1ms,这个是靠经验来判断的,最直接的方法就是用示波器看,以上均为推论。

使用特权

评论回复
7
heisexingqisi|  楼主 | 2017-6-7 15:09 | 只看该作者
11、PIC单片机的延时问题 晶振4Mhz:

void delay()

{

unsigned int d=1000;

while(--d){;}

}

此函数在4M晶体下产生10003us的延时,也就是10MS。

问题:我刚算了一下他应该执行了999条指令,1条单周期的指令也才1US,那就是999us,为什么会有10ms的延时?

1:for(x=100;--x;){;} : 2: for(x=0;x<100;x++){;} 2句话相同

第一句:X的值范围是不是 1~99?为什么?

第二句:X的范围是不是0~99?为什么?这么算的。我知道符号在前在后的区别。2句话应该是不一样的才对啊!

答:

问题1:“我刚算了一下他应该执行了999条指令”因为你算错了。延时时间是由产生的汇编代码所决定的,C语言语句只是个假象,千万不要以为C语言一行就是一条指令!此处由于涉及到双字节减法,因此会有额外的判断,编译结果每次循环耗费几十个周期毫不奇怪。

问题2:前一句x从100开始递减,递减至1时退出循环。后一句x从0开始递增,递增到100时退出循环。所谓“2句话”相同仅仅是指这两个循环体的循环次数相同。实际上两个循环的执行过程是完全不同的,所消耗时间也有可能不同。

12、stc单片机的延时问题 ,STC10F08XE单片机,晶振22.1184M

void delay(unsigned long uldata)

{

unsigned int j = 0;

unsigned int g = 0;

for (j=0;j<5;j++)

{

for (g=0;g

{

_nop_();

_nop_();

_nop_();

}

}

}

当uldata=1时延时多少秒?

请给出具体算法…………

答:用keil转换成汇编语句,然后对照指令表计算就行了

13、我想用单片机连接不断地向电脑发数,如下:

while (1)

{

send_char('9');

delay(n);

}

如每发送一个数,应延时多少微妙好呢?即一般最短能延时多少微米呢?如延时太长的话,那发送很多数据不就用很长时间吗?

答:不做太多的串口处理分析,只顺着你的问题和你的方法说说:

先考虑下串口的速率 假设9600,那么发送一个字符要多久?

(9600bit/S) / 10bit(一个字符1+8+1) = 960字符/秒 约 1ms/byte

也就是说你如果在1ms内发送超过一个字符就没意义了,硬件速度达不到。

while(1)

{

send_char('9');

delay(n);

}

这个循环是执行周期也就十几微秒+delay()的延迟,所以任何小于1040微秒的延迟对串口硬件来说没意义,上一个还没处理完,下一个就来了根本执行不了嘛。

如果你send_char()里面有while(!TI);TI = 0;这样的语句或有串口中断TI的处理的话,那么实际上你的delay()已经在发送函数里了,while(!TI);这部就是延迟等待吗?那根本不需要主函数去延迟了,直接发就行了。

使用特权

评论回复
8
heisexingqisi|  楼主 | 2017-6-7 15:09 | 只看该作者
14、一个单片机延时子程序的问题,在延时子程序那里,一直搞不明白,给r7和r6赋予0,然后下面的djnz r7,delayloop不就一直循环了,那还怎么接下去的程序?
org 0000h

ljmp start

org 0030h

start: mov a,#0feh

mov r5,#8

output: mov p1,a

rl a

call delay

djnz r5,output

ljmp start

delay: mov r6,#0

mov r7,#0

delayloop:djnz r7,delayloop

djnz r6,delayloop

ret

end

答: 你的延时程序不是因为值为0,而是跳转位置不对,改为如下:

delay: mov r6,#0

delayloop:mov r7,#0

:djnz r7,$

djnz r6,delayloop

ret

R7,R6初值为0,但是当DJNZ执行时,这条指令是先减1再判断,所以0-1=255,判断的话也不为0,仍然循环256次。

0-1=255的解释:

0000 0000

- 0000 0001

-------------------------

1111

15、我想提两个单片机延时与按键的问题

1:如果一个程序中延时和按键,如果延时子程序比较长(假如2秒),怎样确保按键能够得到及时响应(假如PC正在执行延时子程序,正在这时候有按键输入,不是响应不了)——,,,前提是不能用定时器定时扫描,和中断来做,因为定时器和中断我另有其他用途

2:单片机没有串口。怎样才能使得他与24C02进行通信(24C02是具有2K内存的EEPROM)

答:

首先明确一点你说单片机没有串口,应该是指没有I2C口吧。

1 在延时程序里面加入按键的检测

2 用IO口模拟I2C时序读写

16、51单片机延时小程序,求高手解释什么意思?

delay200ms:

mov r2,#82

l0:mov r1,#116

l1:mov r0,#9

djnz r0,$

djnz r1,l1

djnz r2,l0

ret

答:以下是每条指令的时间,T为一个机器周期

delay200ms:

mov r2,#82;1T

l0:mov r1,#116;1T

l1:mov r0,#9;1T

djnz r0,$;2T

djnz r1,l1;2T

djnz r2,l0;2T

ret;2T

以上共三层循环,忽略部分指令,最简单算法是:

2*9*116*82=171216

不忽略指令是:

1+(1+(1+2*9+2)*116+2)*82+2=200001

因此延时时间大约为200ms

17、于51单片机延迟时间的问题

uchar i;i--;

uint i;i--;

这两条语句在12M晶振下运行时间分别是多少??

答:一个时钟周期,2us,共4us

18、周期为6MHZ的单片机延时10秒的子程序的怎么编?

答:/********************************************************************

* 名称 : Delay()

* 功能 : 延时,延时时间为 10ms * del。这是通过软件延时,有一定误差。

* 输入 : del

* 输出 : 无

***********************************************************************/

void Delay(uint del)

{

uint i,j;

for(i=0; i

for(j=0; j<1827; j++) //这个是通过软件仿真得出的数

;

}

这个是晶振为12mhz的单片机延时10ms的程序,你只要在这个基础上减小一倍就行了,当然至于具体值还是要调试下的。

19、片机的有些程序需要调用延时程序,如何能合理的安排循环次数以及空操作的个数?

答:用汇编的话就根据你的当前晶振频率去推算你的指令周期,然后结合你需要延迟的时间,编写延迟程序,用C的话还是要看最后生成的汇编码是什么样的了。最简单的方法就是写好程序以后再编译器里软仿真看时间。赞同2| 评论(1)

20、单片机延时程序问题

延时程序 void delay(uint dt)

{

uchar bt;

for(;dt;dt--);

for(bt=0;bt<255;bt++);

}

编译时有警告C:DOCUMENTS AND SETTINGSADMINISTRATOR桌面字 310 点阵LED显示.C(46): warning C235: parameter 1: different types

为什么?求大侠指点

答:某个函数传参类型与声明类型不符。

另外你这个for(;dt;dt--);没有起到外层循环的作用……

使用特权

评论回复
9
mmuuss586| | 2017-6-7 19:52 | 只看该作者

使用特权

评论回复
10
dzguang| | 2017-6-8 10:47 | 只看该作者
累不累啊?拿这么一个东西贴过来~

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

116

主题

2531

帖子

2

粉丝