打印

modelsim仿真rom的问题

[复制链接]
2141|0
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
electroman|  楼主 | 2010-8-4 23:16 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
我用modelsim仿真rom,rom的初始化文件用hex文件,结果仿真过程出错,说无法打开hex文件,从网上查了一下,都说要在modelsim.ini总添加一个convert_hex2ver.dll
的路径,可是我搜遍了我的altera下的文件也没有发现convert_hex2ver.dll

相关帖子

发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

个人签名:FPGA+DM642项目研发中。。。

0

主题

34

帖子

1

粉丝