打印
[FPGA]

这个latch我怎么也看不出来怎么产生的

[复制链接]
2239|6
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
CWBBest|  楼主 | 2017-9-24 20:00 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
沙发
CWBBest|  楼主 | 2017-9-24 20:03 | 只看该作者
他是说data_read_local_n产生了latch。警告提示是这样的:“Warning (10240): Verilog HDL Always Construct warning at SDA_Generate.v(203): inferring latch(es) for variable "data_read_local_n", which holds its previous value in one or more paths through the always construct.”

使用特权

评论回复
板凳
nethopper| | 2017-9-25 10:00 | 只看该作者
RD_REG_DATA 后的 if else 用 begin end包起来试试

使用特权

评论回复
地板
玄德| | 2017-9-25 14:24 | 只看该作者

问题可能不在这里,在你没贴出来的地方。

使用特权

评论回复
5
CWBBest|  楼主 | 2017-9-29 16:15 | 只看该作者
玄德 发表于 2017-9-25 14:24
问题可能不在这里,在你没贴出来的地方。

双击警告会定位到这儿

使用特权

评论回复
6
CWBBest|  楼主 | 2017-9-29 16:37 | 只看该作者
玄德 发表于 2017-9-25 14:24
问题可能不在这里,在你没贴出来的地方。

那您知道除了:if没写完整,case没写全,变量没有在所有情况下都给予了赋值,这些之外还有哪些情况会产生latch呀?

使用特权

评论回复
7
xiaoxudove| | 2017-10-12 09:06 | 只看该作者
赋值前后有相同的元素会产生latch

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

1

主题

5

帖子

0

粉丝