关于warning 1109的解决办法求答!!!

[复制链接]
1086|2
手机看帖
扫描二维码
随时随地手机跟帖
gujiahui|  楼主 | 2017-10-17 14:35 | 显示全部楼层 |阅读模式
本人初学Xilinx,写了一个简单的小程序,配置引脚时出现以下warning
WARNING:Place:1109 - A clock IOB / BUFGMUX clock component pair have been found
   that are not placed at an optimal clock IOB / BUFGMUX site pair. The clock
   IOB component <clk> is placed at site <P78>. The corresponding BUFG component
   <clk_BUFGP/BUFG> is placed at site <BUFGMUX_X2Y3>. There is only a select set
   of IOBs that can use the fast path to the Clocker buffer, and they are not
   being used. You may want to analyze why this problem exists and correct it.
   This is normally an ERROR but the CLOCK_DEDICATED_ROUTE constraint was
   applied on COMP.PIN <clk.PAD> allowing your design to continue. This
   constraint disables all clock placer rules related to the specified COMP.PIN.
   The use of this override is highly discouraged as it may lead to very poor
   timing results. It is recommended that this error condition be corrected in
   the design.


QQ图片20171017143445.png

相关帖子

gujiahui|  楼主 | 2017-10-17 15:31 | 显示全部楼层
自挽,求不沉

使用特权

评论回复
小范fpr| | 2017-10-18 08:46 | 显示全部楼层
是不是时钟pin的位置不对?没用buffer?

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

7

主题

34

帖子

0

粉丝