打印

以Spartan3E开讲Xilinx FPGA 内部结构(10.5更新,位于88楼)

[复制链接]
楼主: GoldSunMonkey
手机看帖
扫描二维码
随时随地手机跟帖
81
mark!~~

使用特权

评论回复
82
opple| | 2011-8-18 09:40 | 只看该作者
非常酷的帖子 不能下沉 哈

使用特权

评论回复
83
sdjntl| | 2011-8-18 12:24 | 只看该作者
学习~~~

使用特权

评论回复
84
holle| | 2011-8-18 22:10 | 只看该作者
好,学习,谢谢

使用特权

评论回复
85
九嶷山人| | 2011-9-25 13:01 | 只看该作者
顶一个 学习

使用特权

评论回复
86
GoldSunMonkey|  楼主 | 2011-9-26 11:22 | 只看该作者
;P

使用特权

评论回复
87
GoldSunMonkey|  楼主 | 2011-10-5 15:55 | 只看该作者
猴哥,请帮忙:
LVDS传输8bit并行同步视频流,用NI的9v031做驱动,用spartan3e1200做接收,硬件上需要注意什么吗?目前我们就串了一个电阻。

还有spartan3e1200最高能接收多高频率,200M可以吗? ...
edacsoft 发表于 2011-8-11 10:08

兄弟才看到你的回帖,不好意思。
只要可用的管教即可,电阻也不是必须的。
速度我记得大约是200多M

使用特权

评论回复
88
GoldSunMonkey|  楼主 | 2011-10-5 16:33 | 只看该作者
本帖最后由 GoldSunMonkey 于 2011-10-5 16:38 编辑

Slice 结构概览终于讲到Slice了。
这个是研究FPGA的重中之重。
接下来要分成若干小点分别讲述,下面的图是我给一家著名企业培训的材料里面的一幅图.
其中Slice-M的结构图,注意里面的多出来的部分是SliceM专有结构,在slice-L中并不存在。通过比较,SliceL的结构也就非常清晰了。逻辑通路与bypass通路
一个slice可以简单分成上下两部分,两部分的结构基本一致,有着近乎相同的元素。
下半部分一般冠以前缀或者后缀“F”,上半部分则冠以前缀或者后缀“G”。
现在以F为例,来研究一下主要的数据通路。参考的图片是下图,这个图太经典了。这里不太清楚,是DS312的图15

先来说明一下逻辑路径,这个路径必然经过LUT,否则就不能成为逻辑路径,而只能成为旁路路径。
总结一下,主要的逻辑路径是5个:
1. 从LUT输出后,再通过X口,离开CLB
2. 从LUT输出后再经过XOR运算(加法或乘法应用),再通过X口,离开CLB
3. 从LUT输出后再经过F5MUX(等价于LUT-5扩展),在通过X口或者F5,离开CLB
4. 上述三种情况中,不从X口输出,而是经由FF输出,通过XQ,离开CLB
5. 从LUT输出后再经过CYMUX,参与到加法运算的进位链中

大家有兴趣的话,可以自己用笔标示一下这些通路,非常清晰。
如果要**的话,其实也很简单,
一是逻辑运算后的直接输出和寄存器输出;
二是加法、乘法的直接输出和寄存器输出;
三是LUT4到LUT5甚至更多级的运算扩展输出。

资料中还提到了旁路bypass通路,这个通路的特点是必然不经过LUT,所以称为旁路。
旁路的应用比较多,但是为了集中精力,这里不再展开,大家自己研究吧。

使用特权

评论回复
评分
参与人数 1威望 +1 收起 理由
星星之火红 + 1 大圣厉害~
89
星星之火红| | 2011-10-5 21:07 | 只看该作者
大圣好**啊

使用特权

评论回复
90
GoldSunMonkey|  楼主 | 2011-10-6 11:46 | 只看该作者
谢谢啦:)继续更新中

使用特权

评论回复
91
ertu| | 2011-10-6 21:00 | 只看该作者
感觉赛灵思酷帖真多

使用特权

评论回复
92
ertu| | 2011-10-6 21:00 | 只看该作者
谢谢分享

使用特权

评论回复
93
GoldSunMonkey|  楼主 | 2011-10-6 21:01 | 只看该作者
感觉赛灵思酷帖真多
ertu 发表于 2011-10-6 21:00

酷贴多不可以否?;P

使用特权

评论回复
94
GoldSunMonkey|  楼主 | 2011-10-6 21:01 | 只看该作者
谢谢分享
ertu 发表于 2011-10-6 21:00

我会一直分享下去:)

使用特权

评论回复
95
GoldSunMonkey|  楼主 | 2011-10-7 17:49 | 只看该作者
没人关注了?

使用特权

评论回复
96
edacsoft| | 2011-10-8 13:09 | 只看该作者
猴哥太给力了。
加油!
能新开帖,将plan个头与ISE比较,它的新功能讲一遍吗

使用特权

评论回复
97
GoldSunMonkey|  楼主 | 2011-10-8 13:11 | 只看该作者
猴哥太给力了。
加油!
能新开帖,将plan个头与ISE比较,它的新功能讲一遍吗
edacsoft 发表于 2011-10-8 13:09

兄弟,等我理理思路。

使用特权

评论回复
98
GoldSunMonkey|  楼主 | 2011-10-8 13:11 | 只看该作者
96# edacsoft 上次那个问题,我看见晚了。投板了么??

使用特权

评论回复
99
opple| | 2011-10-8 13:32 | 只看该作者
真是受益匪浅啊

使用特权

评论回复
100
opple| | 2011-10-8 13:32 | 只看该作者
多谢斑竹了

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则