[verilog]

各位大神请帮忙

[复制链接]
610|1
手机看帖
扫描二维码
随时随地手机跟帖
BigCLoveTu|  楼主 | 2017-12-30 16:47 | 显示全部楼层 |阅读模式
我在例化的时候,有16个相同的模块,对应了16个输出,我定义为.dataout(dataout0)到~.dataout(dataout15),下面有一个uart模块,我也进行例化,输入端口是.dataout(dataout),我想把16个模块的输出和uart的输入连接在一起,请问应该怎么操作呀???

相关帖子

ab8780000| | 2018-1-2 09:09 | 显示全部楼层
你得再做一个模块,用于16转1

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

1

主题

1

帖子

0

粉丝