打印
[FPGA]

用FPGA能产生1nS脉冲吗?

[复制链接]
4951|9
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
johnzdeng123|  楼主 | 2018-3-5 20:10 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
现在需要做1nS脉冲发生器,FPGA可以做出来吗?
1,据说FPGA主频达不到1GHz,那通过PLL或MCMM可以吗?如果主频到了,FPGA的普通IO口可以胜任这么高频吗?
2,可不可以用FPGA内部GTX(或oserdes)串行收发器编码产生窄脉冲呢,还是这个必须要配合相应的IP核才能用?
3,可不可以用锁相环移相的方法,产生较窄脉宽的连续波形,再取其中一个周期输出可不可行?

4,还有种说法是利用逻辑器件的竞争冒险方式产生的毛刺,把毛刺当成脉冲,这也是一种方案

大神看看以上这四种方案有哪种可行吗?
评论
Furimle 2018-8-1 20:36 回复TA
你好,我有个项目要求,要产生3ns的单独一个脉冲,请问您这个问题解决了吗?我目前问题是产生的3ns的周期信号还可以,但是摘出来只要1个3ns高电平脉冲,其脉冲不稳,其周期在2ns到5ns之间波动,求指教 

相关帖子

沙发
ucx| | 2018-3-5 22:42 | 只看该作者
1ns脉冲,绝对没有问题。FPGA产生窄脉冲的主要问题是端口的爬坡率不够。
我给一种方案,以供参考。例如要产生1MHz的宽1ns脉冲。
1. 用PLL产生两个50M时钟clk0和clk1,clk1相对于clk0相移9°也就是1ns。
2. 用clk0下降沿触发产生1MHz方波Q
3. 用clk0上升沿读取Q获得A
4. 用clk1上升沿读取Q的非获得B
5. 通过组合逻辑产生输出pul = A and B

使用特权

评论回复
板凳
johnzdeng123|  楼主 | 2018-3-6 16:30 | 只看该作者
ucx 发表于 2018-3-5 22:42
1ns脉冲,绝对没有问题。FPGA产生窄脉冲的主要问题是端口的爬坡率不够。
我给一种方案,以供参考。例如要产 ...

大神说的是第三种方案,产生周期性的脉冲信号
那这个脉冲性的信号,能不能只取其中一个出来呢?

还有其他几种方案可行不?

使用特权

评论回复
地板
ucx| | 2018-3-6 20:56 | 只看该作者
johnzdeng123 发表于 2018-3-6 16:30
大神说的是第三种方案,产生周期性的脉冲信号
那这个脉冲性的信号,能不能只取其中一个出来呢?

看你的帖子,应该是对这个问题考虑比较成熟了,但是你问只取一个出来是什么意思?
我以Q为方波来说明产生的原理,如果Q不是方波,假设就是一个脉冲,只不过是脉冲比较宽,那么就产生一个窄脉冲。如果Q是两个脉冲,那么就产生两个窄脉冲。

其他方案,除了第4种无法控制1ns,其他当然可行。不过必须是差分电平输出

使用特权

评论回复
5
johnzdeng123|  楼主 | 2018-3-7 10:13 | 只看该作者
ucx 发表于 2018-3-6 20:56
看你的帖子,应该是对这个问题考虑比较成熟了,但是你问只取一个出来是什么意思?
我以Q为方波来说明产生 ...

谢谢大神,我说的取出来一个,意思是,我们实验设备需要一个触发脉冲,而不是连续的不间断的来触发信号,还有就是能产生20路,这样的触发信号

使用特权

评论回复
6
ar_dong| | 2018-3-10 08:13 | 只看该作者
gtx肯定行

使用特权

评论回复
7
johnzdeng123|  楼主 | 2018-3-12 18:57 | 只看该作者

那出来的脉冲幅度大概能多大?

使用特权

评论回复
8
钻研的鱼| | 2018-3-20 13:09 | 只看该作者
fpga可以产生1ns脉冲

使用特权

评论回复
9
钻研的鱼| | 2018-8-3 20:46 | 只看该作者
要考虑 脉宽是否可以动态调整  每次调整的分辨率是多少
需要fpga的特殊设计方可完成

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

1

主题

5

帖子

0

粉丝