[VHDL]

玩转VHDL018-仿真结果写入文件

[复制链接]
1179|2
手机看帖
扫描二维码
随时随地手机跟帖
ucx|  楼主 | 2018-3-7 11:24 | 显示全部楼层 |阅读模式
本帖最后由 ucx 于 2018-3-7 11:31 编辑

dToFile.rar (653 Bytes)

相关帖子

酒德麻鹅| | 2021-5-15 15:38 | 显示全部楼层
博主您好,这个”玩转VHDL018-仿真结果写入文件“里的代码文件下载不下来,您方便再分享一下代码吗,感谢!
我的邮箱是:liuyueamazing@163.com

使用特权

评论回复
ucx|  楼主 | 2021-5-20 13:42 | 显示全部楼层
从《源数据》读入循环写入《结果》示例.rar (2.38 KB)

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

ucx

28

主题

85

帖子

5

粉丝