[FPGA]

verilog实现三次样条插值

[复制链接]
2452|9
手机看帖
扫描二维码
随时随地手机跟帖
我看看|  楼主 | 2018-5-13 21:15 | 显示全部楼层 |阅读模式
用verilog实现EMD算法,需要用到三次样条插值法,请问有做过类似算法实现的吗,可以讲一下verilog实现三次样条插值的思路,或者相互交流探讨一下吗?

相关帖子

李不走寻常路| | 2018-5-17 09:48 | 显示全部楼层
你是不是用三次样条差值来形成信号波形的包络线?

使用特权

评论回复
我看看|  楼主 | 2018-5-17 17:23 | 显示全部楼层
李不走寻常路 发表于 2018-5-17 09:48
你是不是用三次样条差值来形成信号波形的包络线?

对呀,请问你有做过这个的verilog实现吗,可以交流一下吗

使用特权

评论回复
李不走寻常路| | 2018-5-19 08:22 | 显示全部楼层
我看看 发表于 2018-5-17 17:23
对呀,请问你有做过这个的verilog实现吗,可以交流一下吗

我没做过只是以前看郑一老师的视频里提到过

使用特权

评论回复
我看看|  楼主 | 2018-5-19 10:18 | 显示全部楼层
李不走寻常路 发表于 2018-5-19 08:22
我没做过只是以前看郑一老师的视频里提到过

哦哦,视频可以分享一下吗,刚接触这个没什么思路,感谢

使用特权

评论回复
李不走寻常路| | 2018-5-24 08:13 | 显示全部楼层
我看看 发表于 2018-5-19 10:18
哦哦,视频可以分享一下吗,刚接触这个没什么思路,感谢

Q 744180121 我发给你郑一老师的视频网址

使用特权

评论回复
楼主方便分享吗 我用FPGA实现CEEMDAN

使用特权

评论回复
我看看 发表于 2018-5-17 17:23
对呀,请问你有做过这个的verilog实现吗,可以交流一下吗

方便分享吗哎

使用特权

评论回复
antonyzzz| | 2020-12-17 15:21 | 显示全部楼层
李不走寻常路 发表于 2018-5-24 08:13
Q 744180121 我发给你郑一老师的视频网址

您好 可以分享一下吗

使用特权

评论回复
antonyzzz| | 2020-12-17 15:21 | 显示全部楼层

您好 我们可以加个好友讨论一下这块  我的QQ是2537527653

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

19

主题

35

帖子

2

粉丝