[verilog]

请教一下Verilog数据处理问题

[复制链接]
1053|1
手机看帖
扫描二维码
随时随地手机跟帖
ruiyang89|  楼主 | 2018-7-21 09:22 | 显示全部楼层 |阅读模式
初学Verilog还请大家多多指教!
   我现在要用Verilog处理一些数据,大概1K左右,这些数据是通过CMOS(130W像素)采集的。一行大概1K(1024*1280)
请问这些数据我该如何存储。
   我在网上查,二维数组不能被综合,是这样吗?
  要不然使用data fifo 还是要用bram两者有什么区别?
  或者有什么其他方案。
  我用的是xilinx 的 zynq 7000

相关帖子

chym129| | 2018-7-28 19:06 | 显示全部楼层
可以通过寄存器存储
reg [1024-1:0] image[1280-1:0] ;
不过这种方式比较占用寄存器资源,同时这种用寄存器搭建的memory功耗会比较大,同时布线带来的影响也比较大。
FIFO里面经常也包括了一个block RAM,然后加上了读写控制逻辑,每输入一拍读使能或者写使能,相应的地址自动移动一位,每次只要提供读写使能就能通过先入先出的方法出数据。
而block RAM是需要提供读写地址和读写使能,好处是在读写某一特定的行时比较方便。

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

15

主题

52

帖子

0

粉丝