打印

MSP430单片机调试接口和JTAG仿真器原理图

[复制链接]
2310|21
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
Jasmines|  楼主 | 2018-8-17 16:13 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
MSP430单片机调试接口和JTAG仿真器原理图


MSP430单片机调试接口简介

MSP430F1、F2、F4系列产品中,采用的是4线JTAG接口。也即TMS(模式选择)、TCK(JTAG时钟信号)、TDO(数据输出)、TDI(数据输入)。

在4线制的JTAG接口中,TI公司有定义一个常规的14pin接口方式
  而在MSP430F2系列产品中,包含了两种JATG接口界面,一种是上述所说的4线制JTAG。另一种是MSP430F20xx系列产品中名为“Spy Bi-Wire”的调试接口,此接口方式采用是2线制。分别为SBWTCK(时钟)、SBWTDO(数据线),加上GND、VCC两引脚此接口只需4根引线。目前支持2线制接口的仿真器有TI eZSP430 USB接口仿真器。


相关帖子

沙发
Jasmines|  楼主 | 2018-8-17 16:13 | 只看该作者
MSP430单片机调试接口和JTAG仿真器原理图

文档1.pdf

99.91 KB

使用特权

评论回复
板凳
51xlf| | 2018-8-18 11:05 | 只看该作者
谢谢分享。

使用特权

评论回复
地板
i1mcu| | 2018-8-18 11:06 | 只看该作者
自制仿真器吗?

使用特权

评论回复
5
pmp| | 2018-8-18 11:06 | 只看该作者
接口网上很多可以参考的。

使用特权

评论回复
6
mmbs| | 2018-8-18 11:06 | 只看该作者
厉害了。

使用特权

评论回复
7
1988020566| | 2018-8-18 11:06 | 只看该作者
这个仿真器呢?

使用特权

评论回复
8
lzbf| | 2018-8-18 11:07 | 只看该作者
Jasmines 发表于 2018-8-17 16:13
MSP430单片机调试接口和JTAG仿真器原理图

JTAG仿真器原理图呢?

使用特权

评论回复
9
houjiakai| | 2018-8-18 11:07 | 只看该作者

使用特权

评论回复
10
youtome| | 2018-8-18 11:07 | 只看该作者
4线制JTAG用的少。

使用特权

评论回复
11
cemaj| | 2018-8-18 11:08 | 只看该作者
Spy Bi-Wire”的调试接口厉害了。

使用特权

评论回复
12
sanfuzi| | 2018-8-18 11:08 | 只看该作者
TI eZSP430 USB接口仿真器多钱呢

使用特权

评论回复
13
lzbf| | 2018-8-18 11:08 | 只看该作者
Jasmines 发表于 2018-8-17 16:13
MSP430单片机调试接口和JTAG仿真器原理图

楼主的资料不是很全面。

使用特权

评论回复
14
51xlf| | 2018-8-18 11:08 | 只看该作者
仿真器固件呢?

使用特权

评论回复
15
1988020566| | 2018-8-18 11:08 | 只看该作者
JTAG仿真器在哪里下载 的

使用特权

评论回复
16
i1mcu| | 2018-8-18 11:08 | 只看该作者
没有制作的说明呢?

使用特权

评论回复
17
mmbs| | 2018-8-18 11:08 | 只看该作者
4线JTAG接口

使用特权

评论回复
18
pmp| | 2018-8-18 11:08 | 只看该作者
jtag的接口很好设计。

使用特权

评论回复
19
sanfuzi| | 2018-8-18 11:08 | 只看该作者
eZSP430价格怎么样?

使用特权

评论回复
20
cemaj| | 2018-8-18 11:08 | 只看该作者
2线制很厉害呢。

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

745

主题

1077

帖子

10

粉丝