打印
[Quartus]

SPARTAN-6 LX45T加密问题

[复制链接]
7515|14
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
小弟用SPARTAN-6 LX45T(xc6slx45t-3fgg484)做了一个项目,现在已经完成,需要对其进行加密,论坛里面有一个帖子:
https://bbs.21ic.com/viewthread.php?tid=219751&highlight=%E5%8A%A0%E5%AF%86
讲的很好,小弟参考了一下。个人的理解是:SPARTAN-6 LX75以上的器件可以用AES加密,而LX75以下的器件,可以使用device DNA加密。个人觉得对于LX45T这样的器件,首先可以读取device DNA,然后通过这个device DNA产生一个加密的BIT文件,这样这个加密的BIT文件就和一个具体的芯片关联起来,这样做在小批量生产时还可以。

不知道上面的理解对不对,如果对,那么如何在ISE里面操作。俺的Generate Programming file属性里面的encryption option里面的encrypt bitstream没有办法点击,如下:

相关帖子

沙发
wahahaabc|  楼主 | 2011-8-12 11:09 | 只看该作者
看了UG380.PDF文档,感觉理解错误:
“个人觉得对于LX45T这样的器件,首先可以读取device DNA,然后通过这个device DNA产生一个加密的BIT文件,这样这个加密的BIT文件就和一个具体的芯片关联起来,这样做在小批量生产时还可以。”

device DNA可以通过DNA_PORT原语进行读取,读取DNA以后,通过什么样的机制才能保证设计防止复制呢???
小弟想到一个比较笨拙的办法,不知道这次理解的对不对:
(1)首先建立一个工程,通过JTAG读取DNA,比如用JTAG读取到的DNA为123456789
(2)然后在原设计代码中调用DNA_PORT原语,读取DNA,读取以后,将读取到的DNA和用JTAG读取的DNA(123456789)进行比较,如果相同,则让设计运行;否则,终止运行。调用DNA_PORT的代码和比较DNA的代码都添加到原设计中。

不过,如果像上面这样做,那么,每次下载程序到一个新的FPGA芯片,都要用JTAG读取FPGA的DNA,然后,修改源代码(和JTAG读取到的DNA值做比较),然后,重新编译整个设计。感觉太费劲了。

一定有更好的方法。希望大侠赐教。

UG380描述如下:

使用特权

评论回复
板凳
wahahaabc|  楼主 | 2011-8-12 13:50 | 只看该作者
:lol

看了wp266,wp267就明白了

使用特权

评论回复
地板
GoldSunMonkey| | 2011-8-12 15:48 | 只看该作者
:L

使用特权

评论回复
5
jakfens| | 2011-8-12 15:51 | 只看该作者
哇 加密

使用特权

评论回复
6
GoldSunMonkey| | 2011-8-12 15:52 | 只看该作者
:L这有什么呢?

使用特权

评论回复
7
dan_xb| | 2011-8-16 09:51 | 只看该作者
那个选项是AES,本来45T就没有的

使用特权

评论回复
8
wahahaabc|  楼主 | 2011-8-16 10:21 | 只看该作者
7# dan_xb

多谢dan_xb大侠

俺原来理解错了 现在已经明白了

使用特权

评论回复
9
钻研的鱼| | 2011-8-16 11:08 | 只看该作者
加密问题还没仔细搞过,有时间了好好研究研究

使用特权

评论回复
10
明空| | 2011-8-16 23:35 | 只看该作者
可以写两个程序吗,第一个读DNA加密并保存,然后把第一个删掉,以后运行时直接启动第二个程序,第二个程序干啥?你懂得

使用特权

评论回复
11
drentsi| | 2011-8-23 20:56 | 只看该作者
只需要写一个程序即可,实例化DNA,把原始密码藏在代码中,搞个小CPU作3DES计算或者AES计算,得出密文,密文存在片外的随便一个FLASH中,将计算密文与片外密文比对,相等就,,,不相等就,,,
**的话,基本没有办法
bit流可以公开
密文可以公开
只有原始密码不公开,编译之后藏在bit流中的某处,是不可能找出来的

使用特权

评论回复
12
蒋哈哈| | 2018-11-20 15:17 | 只看该作者
drentsi 发表于 2011-8-23 20:56
只需要写一个程序即可,实例化DNA,把原始密码藏在代码中,搞个小CPU作3DES计算或者AES计算,得出密文,密 ...

您好!可以给个联系方式,我想向您请教一些问题,谢谢

使用特权

评论回复
13
蒋哈哈| | 2018-11-20 15:20 | 只看该作者
drentsi 发表于 2011-8-23 20:56
只需要写一个程序即可,实例化DNA,把原始密码藏在代码中,搞个小CPU作3DES计算或者AES计算,得出密文,密 ...

您好!我想请教关于Device DNA加密的一些问题,有偿,感谢

使用特权

评论回复
14
蒋哈哈| | 2018-11-20 15:20 | 只看该作者
drentsi 发表于 2011-8-23 20:56
只需要写一个程序即可,实例化DNA,把原始密码藏在代码中,搞个小CPU作3DES计算或者AES计算,得出密文,密 ...

您好!我想请教关于Device DNA加密的一些问题,FUFEI,感谢

使用特权

评论回复
15
wowangru| | 2018-11-22 10:36 | 只看该作者
我也想知道怎么加密!!

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

119

主题

627

帖子

0

粉丝