打印

verilog用$fopen打不开txt文件

[复制链接]
1207|0
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
nb533|  楼主 | 2018-12-9 20:46 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
想用$fopen打开一个txt,并往里面写数,没成功,下面是代码,请帮我看看哪里出了问题呀,下面是代码,但是仿真完后控制台能显示,但是希望打开的txt文件却看不见在哪
module top_test;
        reg clk;
        reg XRSn;
        reg [6:0] FbIn;
        wire [6:0] FbOut;
        integer txt_file;       
        initial
                begin               
                        clk = 0;
                        XRSn = 0;
                        FbIn = 0;
                        txt_file = $fopen("D:\Xilinx\yyy.txt");
                       
                        #100;
                        XRSn = 1;
                       
                        repeat(30)
                begin
                        #10 clk <= ~clk;
              $display("cont=%d",FbOut);       
$fdisplay(txt_file,"cont=%d",FbOut);                       
                end               
                end
                        top aat(
                .clk(clk),
                .XRSn(XRSn),
                .FbOut(FbOut),
                .FbIn(FbIn)
        );
      
endmodule

相关帖子

发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

51

主题

75

帖子

1

粉丝