打印
[FPGA]

xc7a35 无法从qspiflash 启动

[复制链接]
1213|10
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
小庄2|  楼主 | 2019-3-9 21:12 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
沙发
zhangmangui| | 2019-3-10 23:07 | 只看该作者
四线烧写确定设置为并行了吗      可能是四线中其中有连线断开问题

使用特权

评论回复
板凳
小庄2|  楼主 | 2019-5-1 12:58 | 只看该作者
zhangmangui 发表于 2019-3-10 23:07
四线烧写确定设置为并行了吗      可能是四线中其中有连线断开问题

嗯,并行是怎么设置呢?

使用特权

评论回复
地板
zhangmangui| | 2019-5-2 16:02 | 只看该作者
小庄2 发表于 2019-5-1 12:58
嗯,并行是怎么设置呢?

是vivado吗  还是ISE ?

使用特权

评论回复
5
zhangmangui| | 2019-5-2 16:02 | 只看该作者
vivado在xdc中生成bin时需要做描述   ISE应该是选择并行就可以了

使用特权

评论回复
6
小庄2|  楼主 | 2019-5-2 23:42 | 只看该作者
zhangmangui 发表于 2019-5-2 16:02
是vivado吗  还是ISE ?

vivado 有4线约束 生成的mcs文件也是选择4线的

使用特权

评论回复
7
小庄2|  楼主 | 2019-5-2 23:46 | 只看该作者
zhangmangui 发表于 2019-5-2 16:02
vivado在xdc中生成bin时需要做描述   ISE应该是选择并行就可以了

vivado 2017.1 是怎么描述呢?

使用特权

评论回复
8
zhangmangui| | 2019-5-4 21:20 | 只看该作者
小庄2 发表于 2019-5-2 23:46
vivado 2017.1 是怎么描述呢?

差不多就这些  
set_property BITSTREAM.CONFIG.CONFIGRATE 40 [current_design]
set_property CONFIG_VOLTAGE 3.3 [current_design]
set_property CFGBVS VCCO [current_design]
set_property BITSTREAM.CONFIG.SPI_BUSWIDTH 4 [current_design]
set_property BITSTREAM.CONFIG.SPI_FALL_EDGE YES [current_design]
set_property CONFIG_MODE SPIx4 [current_design]

使用特权

评论回复
9
小庄2|  楼主 | 2019-5-5 19:40 | 只看该作者
zhangmangui 发表于 2019-5-4 21:20
差不多就这些  
set_property BITSTREAM.CONFIG.CONFIGRATE 40 [current_design]
set_property CONFIG_VO ...

好的 我试试 谢谢版主大神

使用特权

评论回复
10
紫荆小兵| | 2019-5-14 20:21 | 只看该作者
vivado 有4线约束 生成的mcs文件也是选择4线的

使用特权

评论回复
11
紫荆小兵| | 2019-5-14 20:22 | 只看该作者
vivado 有4线约束 生成的mcs文件也是选择4线的

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

38

主题

1231

帖子

6

粉丝