[matlab]

GSM教你理解verilog HDL语言的一些概念

[复制链接]
6944|42
手机看帖
扫描二维码
随时随地手机跟帖
GoldSunMonkey|  楼主 | 2011-10-7 19:37 | 显示全部楼层 |阅读模式
本帖最后由 SuperX-man 于 2011-10-24 12:13 编辑

声明:本资料为Goldsunmonkey为庆祝Xilinx论坛成立一周年来与大家分享一些东西的系列。
为Xilinx和Xilinx论坛发展贡献自己的一点力量。可以供大家(除商业意图以外)随意下载使用交流。
如果使用为了商业意图,请联系原作者。


一:基本
Verilog中的变量有线网类型和寄存器类型。线网型变量综合成wire,而寄存器可能综合成WIRE,锁存器和触发器。

二:verilog语句结构到门级的映射
1、连续性赋值:assign
连续性赋值语句逻辑结构上就是将等式右边的驱动左边的结点。因些连续性赋值的目标结点总是综合成由组合逻辑驱动的结点。Assign语句中的延时综合时都将忽视。

2、过程性赋值
过程性赋值只出现在always语句中。

阻塞赋值和非阻塞赋值就该赋值本身是没有区别的,只是对后面的语句有不同的影响。

建议设计组合逻辑电路时用阻塞赋值,设计时序电路时用非阻塞赋值。

过程性赋值的赋值对象有可能综合成wire,latch,和flip-flop,取决于具体状况。如,时钟控制下的非阻塞赋值综合成flip-flop。

过程性赋值语句中的任何延时在综合时都将忽略。

建议同一个变量单一地使用阻塞或者非阻塞赋值。

3、逻辑操作符:
逻辑操作符对应于硬件中已有的逻辑门

4、算术操作符:
Verilog中将reg视为无符号数,而integer视为有符号数。因此,进行有符号操作时使用integer,使用无符号操作时使用reg。

5、进位:
通常会将进行运算操作的结果比原操作数扩展一位,用来存放进位或者借位。如:
Wire [3:0] A,B;
Wire [4:0] C;
Assign C=A+B;
C的最高位用来存放进位。

6、关系运算符:
关系运算符:<,>,<=,>=
和算术操作符一样,可以进行有符号和无符号运算,取决于数据类型是reg ,net还是integer。

7、相等运算符:==,!=
注意:===和!==是不可综合的。
可以进行有符号或无符号操作,取决于数据类型

8、移位运算符:
左移,右移,右边操作数可以是常数或者是变量,二者综合出来的结果不同。

9、部分选择:
部分选择索引必须是常量。

10、BIT选择:
BIT选择中的索引可以用变量,这样将综合成多路(复用)器。
11、敏感表:
Always过程中,所有被读取的数据,即等号右边的变量都要应放在敏感表中,不然,综合时不能正确地映射到所用的门。

12、IF:
如果变量没有在IF语句的每个分支中进行赋值,将会产生latch。如果IF语句中产生了latch,则IF的条件中最好不要用到算术操作。Case语句类似。Case的条款可以是变量。

如果一个变量在同一个IF条件分支中先赎值然后读取,则不会产生latch。如果先读取,后赎值,则会产生latch。

13、循环:
只有for-loop语句是可以综合的。

14、设计时序电路时,建议变量在always语句中赋值,而在该always语句外使用,使综合时能准确地匹配。建议不要使用局部变量。

15、不能在多个always块中对同一个变量赎值

16、函数
函数代表一个组合逻辑,所有内部定义的变量都是临时的,这些变量综合后为wire。

17、任务:
任务可能是组合逻辑或者时序逻辑,取决于何种情况下调用任务。

18、Z:
Z会综合成一个三态门,必须在条件语句中赋值

19、参数化设计:
优点:参数可重载,不需要多次定义模块

四:模块优化
1、资源共享:
当进程涉及到共用ALU时,要考虑资源分配问题。可以共享的操作符主要有:关系操作符、加减乘除操作符。通常乘和加不共用ALU,乘除通常在其内部共用。

2、共用表达式:
如:C=A+B;
D=G+(A+B);
两者虽然有共用的A+B,但是有些综合工具不能识别.可以将第二句改为:D=G+C;这样只需两个加法器.

3、转移代码:
如循环语句中没有发生变化的语句移出循环.

4、避免latch:
两种方法:1、在每一个IF分支中对变量赋值。2、在每一个IF语句中都对变量赋初值。

5:模块:
综合生成的存储器如ROM或RAM不是一种好方法。最好用库自带的存储器模块。

五、验证:
1、敏感表:
在always语句中,如果敏感表不含时钟,最好将所有的被读取的信号都放在敏感表中。

2、异步复位:
建议不要在异步时对变量读取,即异步复位时,对信号赎以常数值。
评分
参与人数 6威望 +6 收起 理由
xuehua230 + 1 谢谢分享
shang651 + 1
wj8215 + 1
jakfens + 1
星星之火红 + 1 学习啦。

查看全部评分

相关帖子

AutoESL| | 2011-10-7 19:49 | 显示全部楼层
详细点就可以出书了

使用特权

评论回复
GoldSunMonkey|  楼主 | 2011-10-7 19:52 | 显示全部楼层
我要出书了,你肯定是我找来写序的人之一

使用特权

评论回复
GoldSunMonkey|  楼主 | 2011-10-7 19:52 | 显示全部楼层
;P

使用特权

评论回复
AutoESL| | 2011-10-7 20:22 | 显示全部楼层
:lol

使用特权

评论回复
GoldSunMonkey|  楼主 | 2011-10-7 20:31 | 显示全部楼层
;P

使用特权

评论回复
xjsxjtu| | 2011-10-7 20:50 | 显示全部楼层
学习啦。不错

使用特权

评论回复
jakfens| | 2011-10-8 08:45 | 显示全部楼层
;P赞

使用特权

评论回复
GoldSunMonkey|  楼主 | 2011-10-8 10:09 | 显示全部楼层
;P赞
jakfens 发表于 2011-10-8 08:45

快给我加分啊。哈哈

使用特权

评论回复
星星之火红| | 2011-10-8 12:27 | 显示全部楼层
大圣厉害~

使用特权

评论回复
jakfens| | 2011-10-8 12:32 | 显示全部楼层
猴哥好样的 威胁小弟给他加分:'(

使用特权

评论回复
GoldSunMonkey|  楼主 | 2011-10-8 12:33 | 显示全部楼层
:lol哈哈,希望大家的支持

使用特权

评论回复
wj8215| | 2011-10-8 13:13 | 显示全部楼层
有些懂了,有些还没懂

使用特权

评论回复
GoldSunMonkey|  楼主 | 2011-10-8 13:17 | 显示全部楼层
哈哈,不懂的提问,我们一起讨论

使用特权

评论回复
bach.lauter1| | 2011-10-8 15:13 | 显示全部楼层
学习不少!受益匪浅:)

使用特权

评论回复
AutoESL| | 2011-10-8 15:27 | 显示全部楼层
猴哥好样的 威胁小弟给他加分:'(
jakfens 发表于 2011-10-8 12:32
:lol

使用特权

评论回复
GoldSunMonkey|  楼主 | 2011-10-8 15:35 | 显示全部楼层
;P

使用特权

评论回复
xjsxjtu| | 2011-10-8 20:33 | 显示全部楼层
大圣如何啊?再出什么呢?

使用特权

评论回复
xjsxjtu| | 2011-10-8 20:35 | 显示全部楼层
以后有问题怎么问你啊。

使用特权

评论回复
AutoESL| | 2011-10-8 21:56 | 显示全部楼层
直接给他站内

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

个人签名:                     2014, 追逐梦想

264

主题

17215

帖子

523

粉丝