VHDL 两个时钟问题

[复制链接]
751|3
手机看帖
扫描二维码
随时随地手机跟帖
weicheichei|  楼主 | 2019-5-6 10:26 | 显示全部楼层 |阅读模式
本帖最后由 weicheichei 于 2019-5-6 10:36 编辑

a

a
QQ图片20190506102449.png

[img]file:///C:/Users/weicheichei/Documents/Tencent%20Files/357027012/Image/C2C/X7KQ(ROU[R_D8892SYZZ4Q1.png[/img]
[img]file:///C:/Users/weicheichei/Documents/Tencent%20Files/357027012/Image/C2C/(T%25_6TXM)J%60ZIFBLCJDZU[G.png[/img]
VHDL程序中有两个时钟,本意是在clksource上升沿 RC输出一个低电平,在两个sclkin时钟后输出为高电平,就是RC的低电平为两个时钟,仿真出来的结果是从clksource上升沿就开始为低电平,这是对的,但是这个低电平会持续到clksource上升沿后的两个时钟。不知道什么原因,希望多指教。


使用特权

评论回复

相关帖子

zhangmangui| | 2019-5-6 22:17 | 显示全部楼层
需要做到一个时钟域吧

使用特权

评论回复
紫荆小兵| | 2019-5-14 20:21 | 显示全部楼层
需要做到一个时钟域吧

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

2

主题

49

帖子

1

粉丝