[VHDL]

VHDL语言中字符串不定态位如何表示

[复制链接]
458|0
手机看帖
扫描二维码
随时随地手机跟帖
zhulin|  楼主 | 2019-10-8 11:37 | 显示全部楼层 |阅读模式
VHDL语言中字符串未定义位如何表示,书上说STD_LOGIC里X表示不定状态,但实际使用时,综合报错。
例如: case ABC is
        when "11X" =>
                             d<= '1';
                 .
                 .
                 .
请问各位,不定态位如何表示才能综合不报错,谢谢

使用特权

评论回复

相关帖子

发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

66

主题

182

帖子

2

粉丝