请问 正式端口在实体中不存在 是什么原因?

[复制链接]
835|3
手机看帖
扫描二维码
随时随地手机跟帖
cameracz|  楼主 | 2020-1-11 22:41 | 显示全部楼层 |阅读模式
我写了一段vhdl程序,想在vivado上进行时序仿真,可是提示[VRFC 10-718] formal port <d_in> does not exist in entity <\TOP_QSN_model\>.  Please compare the definition of block <\TOP_QSN_model\> to its component declaration and its instantion to detect the mismatch.这是顶层文件的实体部分:

894635e19ddddf3fd6.png

这是tb文件的声明、例化部分:
985665e19de2a54b71.png
这段程序在进行功能仿真时没有问题,但是在时序仿真时出现了问题,提示tb文件中的输入端口d_in和d_out在顶层文件中不存在,请问这是什么原因?

使用特权

评论回复

相关帖子

cameracz|  楼主 | 2020-1-11 22:43 | 显示全部楼层
求大佬解答,我只是个新人,怎么都解决不了这个问题。

使用特权

评论回复
评论
dirtwillfly 2020-1-12 10:01 回复TA
vhdl不熟,帮顶 
cameracz|  楼主 | 2020-1-13 11:17 | 显示全部楼层
请问有谁能解答一下吗?

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

1

主题

4

帖子

0

粉丝