发新帖我要提问
123
返回列表
打印

安富利GSM给您说说ModelSim仿真XILINX库添加

[复制链接]
楼主: GoldSunMonkey
手机看帖
扫描二维码
随时随地手机跟帖
41
猴哥,你真是我猴哥,我发现你的每个帖子都能帮到我,太谢谢了:'(

使用特权

评论回复
42
GoldSunMonkey|  楼主 | 2012-10-8 21:29 | 只看该作者
猴哥,你真是我猴哥,我发现你的每个帖子都能帮到我,太谢谢了:'(
ayading 发表于 2012-10-8 13:05
哈哈,以后多来支持我。

使用特权

评论回复
43
shirleylili| | 2012-10-23 10:24 | 只看该作者
这个是不是只有ISE在集成环境下调用modelsim可行,modelsim去仿真ise的核还需要加入这些库啊,我按着这方法试的但是每次需要从ise将库加入,否则就不可以。。为什么啊

使用特权

评论回复
44
wmsk| | 2012-10-23 20:22 | 只看该作者
这个是不是只有ISE在集成环境下调用modelsim可行,modelsim去仿真ise的核还需要加入这些库啊,我按着这方法试的但是每次需要从ise将库加入,否则就不可以。。为什么啊 ...
shirleylili 发表于 2012-10-23 10:24
不用啊。
是不是没有修改Modelsim.ini文件啊?

使用特权

评论回复
45
j22718282| | 2013-11-21 22:49 | 只看该作者
shirleylili 发表于 2012-10-23 10:24
这个是不是只有ISE在集成环境下调用modelsim可行,modelsim去仿真ise的核还需要加入这些库啊,我按着这方法 ...

我的也是 每次都要编译 而且要仿真当前工程下。折腾了很久 我想应该是modelsim版本低的问题 。我用的ise13.4+modelsim se 6.5e

使用特权

评论回复
46
j22718282| | 2013-11-21 23:01 | 只看该作者
GoldSunMonkey 发表于 2012-10-8 21:29
哈哈,以后多来支持我。

猴哥 我支持你。  为什么我用了compxlib.exe编译所有库并且modelsim.ini文件里也有映射路径,但是仿真ise的ip核时总是出错,如下:

等等 许多类似的error
为什么modelsim没有寻找编译库的路径?
而在当前工程下再执行以下命令,就可以仿真IP核了:
vlib work
vlog d:/xilinx/13.4/ISE_DS/iSE/verilog/src/simprims/*.v
vlog d:/xilinx/13.4/ISE_DS/iSE/verilog/src/unimacro/*.v
vlog d:/xilinx/13.4/ISE_DS/iSE/verilog/src/uni9000/*.v
vlog d:/xilinx/13.4/ISE_DS/iSE/verilog/src/xilinxCoreLib/*.v
vlog d:/xilinx/13.4/ISE_DS/iSE/verilog/src/unisims/*.v
vlog d:/xilinx/13.4/ISE_DS/iSE/verilog/src/glbl.v
我可不想每个工程都编译一次库文件啊,网上找了好久没找到答案 于是想起了猴哥你 ....
我用的ise13.4+modelsim se 6.5e,是不是modelsim的版本太低导致的?

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则