打印
[Quartus]

ModelSim仿真波形保存

[复制链接]
9426|0
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
gaochy1126|  楼主 | 2021-6-29 21:05 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
1、do文件的保存过程
(1)将视图切换到wave界面,即鼠标左键点击选择wave界面,使其高亮显示。
(2)file——>save format——>D:/modeltech_6.5b/examples/run_wave.do 可以更改do文件名称和存放目录,一般保存在仿真目录中。
2、wlf文件保存过程
(1)切换左边任务栏至“sim”。
(2)点击保存,给将要保存的 .wlf文件(波形文件)命名。
3、载入之前保存的波形
(1)重启modelsim,open 之前保存的 .wlf文件,
(2)然后 ,load 对应的 .do文件。如下图所示。


使用特权

评论回复

相关帖子

发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

个人签名:这个社会混好的两种人:一是有权有势,二是没脸没皮的。

1025

主题

11271

帖子

24

粉丝