打印

Verilog 简明教程

[复制链接]
楼主: GoldSunMonkey
手机看帖
扫描二维码
随时随地手机跟帖
21
qaz8890| | 2012-12-22 20:31 | 只看该作者 回帖奖励 |倒序浏览

新手学习了,谢楼主

使用特权

评论回复
22
xiangtian116| | 2012-12-24 13:42 | 只看该作者
好像还没写完吧!期待版主继续更新

使用特权

评论回复
23
tee.| | 2012-12-24 14:40 | 只看该作者
好东西,收下了。

使用特权

评论回复
24
bitshiyan| | 2013-1-12 16:50 | 只看该作者
先下再看。。。初学者,到处mark

使用特权

评论回复
25
qin552011373| | 2013-1-12 20:15 | 只看该作者
学习一下

使用特权

评论回复
26
GoldSunMonkey|  楼主 | 2013-1-12 23:14 | 只看该作者
qin552011373 发表于 2013-1-12 20:15
学习一下

欢迎啊

使用特权

评论回复
27
wmsk| | 2013-1-13 22:09 | 只看该作者
GoldSunMonkey 发表于 2013-1-12 23:14
欢迎啊

我来啦

使用特权

评论回复
28
qvbhpfqf| | 2013-1-14 14:19 | 只看该作者
谢谢分享

使用特权

评论回复
29
GoldSunMonkey|  楼主 | 2013-1-14 21:53 | 只看该作者
qvbhpfqf 发表于 2013-1-14 14:19
谢谢分享

欢迎啊

使用特权

评论回复
30
lijieyang| | 2013-1-15 11:56 | 只看该作者
.新手学习了,谢楼主

使用特权

评论回复
31
j779944| | 2013-1-15 15:51 | 只看该作者
很好的简明教程,感谢分享

使用特权

评论回复
32
GoldSunMonkey|  楼主 | 2013-1-15 22:53 | 只看该作者
j779944 发表于 2013-1-15 15:51
很好的简明教程,感谢分享

欢迎常来

使用特权

评论回复
33
as@yangjun| | 2013-2-20 16:15 | 只看该作者

使用特权

评论回复
34
张进超| | 2013-5-24 14:29 | 只看该作者
:):D

使用特权

评论回复
35
GoldSunMonkey|  楼主 | 2013-5-24 21:06 | 只看该作者
张进超 发表于 2013-5-24 14:29

又翻老贴啊

使用特权

评论回复
36
草民| | 2013-5-24 23:28 | 只看该作者
本帖最后由 GoldSunMonkey 于 2013-5-25 23:34 编辑

谢谢

使用特权

评论回复
37
GoldSunMonkey|  楼主 | 2013-5-25 23:34 | 只看该作者
草民 发表于 2013-5-24 23:28
谢谢

欢迎常来

使用特权

评论回复
38
星星之火红| | 2013-5-26 22:50 | 只看该作者
GoldSunMonkey 发表于 2013-5-25 23:34
欢迎常来

会的啊

使用特权

评论回复
39
ygsunshine2013| | 2013-6-4 15:19 | 只看该作者
谢谢楼主了!!!!!

使用特权

评论回复
40
dwwangxuan| | 2013-6-6 11:12 | 只看该作者
谢楼主分享

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则