打印
[Quartus]

MIF生成工具

[复制链接]
1670|3
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
ifpga|  楼主 | 2014-3-20 23:15 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
本帖最后由 ifpga 于 2014-3-20 23:17 编辑

最近做的项目要量产,而其中需要对一个512Byte的RAM进行初始化。之前一直没做,因为如果用Quartus的话,只能一个字节一个字节往里敲,这样做下去会死人的。

现在马上要量产,绕不过去了。于是,打开了一个Quartus自动生成的Mif文件,发现结构非常简单。如下:

– Quartus II generated Memory Initialization File (.mif)

WIDTH=8;

DEPTH=512;

ADDRESS_RADIX=HEX;

DATA_RADIX=HEX;

CONTENT BEGIN

000 : 10;

[001..008] : 00;

009 : 68;

[00A..1FF] : 00;

END;

其结构一目了然,其中,width 表示每个word的宽度,depth 表示Memory的深度。

Address_radix/data_radix 表示地址和数据的编码格式,其中HEX表示十六进制编码。

而 CONTENT BEGIN 和 END 之间就是一个一个WORD的数据了。

立马,就写了一个简单的BIN2MIF 的小程序用于自动生成 .mif 文件。

希望,对大家也有帮助。(源码也一并上传上来啦!)

clip_image001

注:如果勾选 .bin file ,则可以将一个 .bin 文件转换成相应的 .mif 文件。如果不勾选,则使用源码中的 bin_init 数组。因此,有特殊要求的,可以直接修改代码 生成相应的 bin_init 数组即可。

点击这里下载:
http://i-fpga.com/?p=199

相关帖子

沙发
yghanwuji| | 2014-3-20 23:16 | 只看该作者
感谢楼主

使用特权

评论回复
板凳
ifpga|  楼主 | 2014-3-21 15:19 | 只看该作者

使用特权

评论回复
地板
bestray| | 2014-9-1 11:32 | 只看该作者
不需要你一个一个的敲入,只需新建mif文件然后复制黏贴即可~~不信你试试

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

个人签名:面朝大海,春暖花开! www.i-fpga.com

13

主题

909

帖子

1

粉丝