[FPGA]

FPGA 外部复位引脚 不接会怎样?

[复制链接]
1973|5
手机看帖
扫描二维码
随时随地手机跟帖
cuianbin|  楼主 | 2014-9-17 21:00 | 显示全部楼层
kaiseradler 发表于 2014-9-16 13:20
正常。芯片综合器默认都会综合成复位时候的状态。除非你给他定义初始值! ...

终于有人回复了! 谢谢!请问 有官方手册或者其他文献有说名么? 如果真是如此,就能解释了。
我怎样验证你说的原因?

使用特权

评论回复
wjddyj| | 2014-9-18 16:46 | 显示全部楼层
没有rst可以正常用的吧

使用特权

评论回复
cuianbin|  楼主 | 2014-9-19 23:12 | 显示全部楼层
wjddyj 发表于 2014-9-18 16:46
没有rst可以正常用的吧

有没有想过,为什么能用?:)

使用特权

评论回复
cuianbin|  楼主 | 2014-9-19 23:13 | 显示全部楼层
kaiseradler 发表于 2014-9-18 08:50
当然没有!你自己可以改变rst中的值,看看是不是每次编译后都是以那个作为初始值就知道了。这个东西是个 ...

谢谢!不过 我还是希望能得到更深一层的解释。。。。

使用特权

评论回复
wjddyj| | 2014-9-21 23:11 | 显示全部楼层
cuianbin 发表于 2014-9-19 23:12
有没有想过,为什么能用?

具体看rst控制什么样的模块了,定义寄存器时默认不是应该是0么,rst的作用应该也是为了让内部初始化吧,要是初始化时要得到非0状态,应该就不能缺rst了吧。目前情况下都会有rst的。调试、复位比较方便嘛,存在就有它的意义

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

个人签名:来到这个论坛,乐于分享,好好学习!!

86

主题

439

帖子

5

粉丝