FPGA论坛、CPLD论坛是中国电子网技术论坛热门版块之一,丰富的技术资源下载,软件下载,源码下载,FPGA及CPLD等可编程逻辑技术设计经验交流,分享,视频课程,在线学习。

海量FPGA源码、软件下载:dl.21ic.com
在线视频培训:open.21ic.com
承接外包项目:project.21ic.com
今日: 7|主题: 14092|帖子: 104064 收藏 (178)
 
[verilog] 黑金 Verilog HDL那些事儿——建模篇、时序篇、整合篇 资料包 attachment  ...2
2024-4-25 09:06 36 35096
[verilog] 两套夏宇闻 verilog HLD 视频课程  ...2
2024-2-6 19:22 28 21847
[verilog] verilog实现 floor, round 四舍五入 和 saturation 操作
2023-12-7 13:57 2 7723
[verilog] verilog仿真文件数组初始化出错 attach_img
2023-10-28 09:49 2 3717
[verilog] 统计数据中1的个数的问题 attach_img
2023-10-28 09:49 6 4132
[verilog] 初学者verilog代码编写上的请教 新人帖 attach_img
2023-10-25 14:31 0 3134
[verilog] 请教高手 wire类型变量条件下如何赋值
2022-11-18 12:29 0 1423
[verilog] 3—8译码器仿真错误 新人帖
2022-7-20 00:36 0 1021
[verilog] 求助:Verilog设计一个2位4输入最大数值检测电路 新人帖
2022-6-20 07:45 1 2062
[verilog] 一个位宽为32的reg寄存器怎么能在一个时钟确定其中1比特所在的位置,并全部输出 新人帖
2022-6-5 09:39 1 2184
[verilog] 有没有大佬帮忙看看我这个有没有问题呀,发送0A01 chipscope 总是抓到c0 新人帖
2021-11-30 20:04 0 1109
[verilog] ISE 13.4 遇到 Process "Place & Route" failed 新人帖
2021-11-2 17:36 1 4021
[verilog] 一种浅显的脉宽控制的方案 新人帖
2021-9-30 10:52 0 5872
[verilog] verilog高手看看我的reg变量判断为啥第二种写法编译报错呢? attach_img
2021-5-10 15:20 18 944
[verilog] 基于Xilinx FPGA的数字频域干扰抵消器
2021-4-19 15:39 10 1556
[verilog] FPGA入门小白 新人帖
2021-4-4 17:20 4 458
[verilog] 编写了两个文件,配置了输出口
2021-3-8 19:36 3 294
[verilog] verilog中可综合与不可综合语句(转载,侵删)
2021-1-12 10:52 0 409
[verilog] 酷能处理器架构 attachment
2020-12-31 11:28 0 386
[verilog] 一起学Verilog 新人帖 attachment
2020-10-20 11:08 1 572
[verilog] 提供免费vivado_hls优化服务
2020-10-18 21:54 0 327
[verilog] 新人求教 新人帖
2020-8-26 08:48 1 325
[verilog] 一道时序电路的问题 新人帖 attach_img
2020-8-25 16:33 3 666
[verilog] verilog assign关键字,可以这样用吗?
2020-8-9 16:51 4 436
[verilog] 新手求问,用ISim仿真时,为何输入老变为zzzzzzzz attach_img
2020-8-5 09:00 5 995
[verilog] 请教一个三分频代码问题,谢谢 新人帖 attach_img
2020-6-10 08:58 3 668
[verilog] 特权同学 Verilog边码边学 Lesson01 Vivado下载与安装
2020-4-22 09:11 0 352
[verilog] 求助!第一次写测试文件,请教大神哪里出问题了? 新人帖 attach_img
2020-4-9 17:16 6 414
[verilog] 简易数字频率计的Verilog源码 attach_img
2020-3-31 15:31 1 545
[verilog] 求助大神 新人帖
2020-3-24 10:26 0 293
[verilog] 3路AD7689采集程序求教
2020-3-18 16:50 6 2095
[verilog] 求问大神有没有关于IEEE 802.16e的编码器代码 新人帖
2020-3-3 10:28 0 272
[verilog] STM32 SPI 连接 EPM240T100C5N 数据不稳定
2020-1-21 19:51 13 1484
[verilog] FPGA上GTX光纤传输 新人帖
2020-1-2 20:39 3 1531
[verilog] 组合逻辑与时序逻辑为什么要分开写
2019-12-25 14:21 1 1012
[verilog] 占空比控制 attach_img
2019-11-26 08:55 4 658
[verilog] 等精度数字频率计的Verilog源码 attach_img
2019-8-31 17:47 0 470
[verilog] 自动售货机 Verilog 语言 状态机 FPGA 源代码 论文完整程序及... attach_img
2019-8-30 13:19 0 784
[verilog] 关于回读:Bram内容与impact.bin的关系
2019-8-10 09:49 3 1868
[verilog] vivado进行simulation时停在一个时间点 attach_img
2019-6-18 07:48 2 1510
下一页 »
快速发帖
还可输入 120 个字符
您需要登录后才可以发帖 登录 | 注册

本版积分规则