问答

汇集网友智慧,解决技术难题

21ic问答首页 - TAG - 仿真
  • No Cortex-M SW Device Found,求解决思路 赏200家园币

    [img]data:image/png;base64,iVBORw0KGgoAAAANSUhEUgAAAooAAAHQCAIAAACp69zXAAAgAElEQVR4Aey9CXQdxZ3/q3PeewdvhCRMZt4BY9YkbCGERYAHsC3jjTWgrJCBmwUHQmKyzoQlWDNJEHjRlSVL1r7blnS9yBgbmdiWjMEyXmQb4U12jMVuZIFCQvIfYOa+V1VdS3f1XXWXXr59dHS7q6t+9ft9qu/ve6tv3+6cEBYQAAEQAAEQAAGHEchxmD9wBwRAAARAAARAIAR5xkEAAiAAAiAAAo4jAHl23JDAIRAAARAAARCAPOMYAAEQAAEQAAHHEYA8O25I4BAIgAAIgAAIQJ5xDIAACIAACICA4whAnh03JHAIBEAABEAABCDPOAZAAARAAARAwHEEIM+OGxI4BAIgAAIgAAKQZxwDIAACIAACIOA4ApBnxw0JHAIBEAABEAAByDOOARAAARAAARBwHAHIs+OGBA6BAAiAAAiAAOQZxwAIgAAIgAAIOI4A5NlxQwKHQAAEQAAEQADyjGMABEAABEAABBxHAPLsuCGBQyAAAiAAAiAAecYxAAIgAAIgAAKOIwB5dtyQwCEQAAEQAAEQgDzjGAABEAABEAABxxGAPDtuSOAQCIAACIAACECecQyAAAiAAAiAgOMIQJ4dNyRwCARAAARAAAQgzzgGQAAEQAAEQMBxBCDPjhsSOAQCIAACIAACkGccAyAAAiAAAiDgOAKQZ8cNCRwCARAAARAAAcgzjgEQAAEQAAEQcBwByLPjhgQOgQAIgAAIgADkGccACIAACIAACDiOAOTZcUMCh0AABEAABEAA8oxjAARAAARAAAQcRwDy7LghgUMgAAIgAAIgAHnGMQACIAACIAACjiMAeXbckLjLoXYsIAACUQm46x0Nb51DAPLsnLFwhydRExF2ggAIxCDgjvc5vHQAAcizAwbBJS7EyDrm3W1YQMBnBMzvgBhbLnnTw81sEoA8Z5O+W/qOkmn0DNyKBQR8TEB/R0R5+7glA8DPrBCAPGcFu5s6jZRcWBrycR5G6CAQFwH2Ton0PnJTLoCvmSUAec4sb7f1ZptTdGFejgUEQEAjoKp3FJF2W1aAvxkiAHnOEGiXdmORZ4swi3S0TFmWYgEBHxNQ3grLxBtE6LStSLs0OcDtdBOAPKebsLvtq/LMMktbWxvLNcuXLxeZSM3GLVhAwMcE1PeCeIMsX76cvWvEm0h9Z7k7R8D7tBGAPKcNrfsNqxlEpJXW1lY2J1i2bJnIRC0tLc3K0oQFBHxJQHkTNLe0tIg3yLJlxky6tbVVvJXU95f7swUiSD0ByHPqmXrGopo+WE7RtVkIM8vGjXxpwAICPiPAj/1G9l5gUi1EWldo9f3lmaSBQFJIAPKcQpieMqXmDlttVoW5sbFRpOJ6utRhAQGfEWBHvngjNDYSnVZFGgrtqRSZ/mAgz+ln7M4eLPKsft+8dOlSps1NTU1MmOvr61kqruVLDRYQ8BkBfuzXsvdCfX19Q0MDE+mmpiY2jWYKLb6HVt9lISwgYCYAeTbzwBYnIBKHOnVm3zczbWan8pgw19bW1tTUVFdXV9Glki8VWEDABwT48V7Jjv/q6uqamhqm1nV1dUKkLQrN3lnijcbfeXgFAYMA5BmHgj0BkTXYpdrsOm12qUtzc3NTU1NDQ0MBFhAAgTgIMJFm57qXLl0q5tBtbW3ijWb/PkSpjwlAnn08+JFDFynD8jMqcVq7sbGxvr6+oKAgjAUEQCAqgYKCgurq6tra2oaGBnaWW8gzu5BbvN0ivyOxx48EIM9+HPWYMYt8ocuzmDrX1tZCnqOmZewEAUKgoKCgoqKiurq6rq6usbGxubl56dKl6i+hxdst5hsTFXxFAPLsq+GON1iRLyxntsW3znV1dTU1NZBn6A8IxCRQUFCwePHiioqKmpqa+vp6ywQa57fjzUr+qwd59t+YxxFxJHkWU+e6urrq6mrIc8zUjAogUFBQsGjRovLy8qqqqtra2sbGRnaNGJtAQ57jSEg+rQJ59unARw87pjzX1tZWVVVBnqE9IBCTQEFBQTAYXLx4cWVlJfsGWj2/DXmOnov8vBfy7OfRjxh7FHlmF4XV1NRUVlZCnmOmZlQAgYKCgoULF5aUlCxZsqSmpqahoQHyHDH1YIdCAPKswMAqJyDkWdyNxHLNdk1NTUVFBeQZ2gMCMQkUFBTMnz+fnd+urq5mXz+rV4eJtxt//+EVBAgByDOOAxsCIl9Y5JndJqy+vh7yHDMpowIIMAIFBQXz5s0rLi4uLy9n1283NTVBnm3yDorMBCDPZh7YogSYPKu/qmKzZ3Y3EnZd2JIlSzB7hgKBQEwCTJ6DwWBZWVlVVVVdXZ1FnsXXz0g/IKASgDyrNLBuEMiEPL/fG6oM9b4fKbmR3RuPRdqLchDIKIHKysrBwUG9y8HBwcrKSr1cLYE8I7EmRwDynBw3j7dKmTwf28glWJPbtMvzsY3iVsiZ1nkSWyWPXMnU1CWbzySsftbcVTzEqj2BwcHB6poai0LbFurtCwoKnn76acyePZ400xAe5DkNUN1vMhPyrKcxU4km56a9sTaoDkpRPrbRRhMj2hhZ18QssUCOAvPJAUOELaW8vvQ2omPYkU0CFjG2bEbxDPLs/oyYnQggz9nh7vBeXS7PRJxHoHapkeeNvZbT8+/3hkK9veJ0gprPR96jag3r6SIgJFmsxNMT5Nnh6c6x7kGeHTs02XQsE/IsJYmeAe81TkXzuaXcTeeiieitPKOuJ0/ljLdUcNYXO/McIqel2WK4IpuwAlKbt43wQcBw3uQI2zAVCfeUYEUZDXvjMeZV7/vWzXA4LB0T/rCJu2gljWEtRQSYMOsnuqOYhzxnM5e5uW/Is5tHL22+Z1yeub4QnZIiSGbARIO4ZEdJgeouewk09EzaktJKBZcrLlc4ZlG1JUXUKFV3qg4YUsqcZ9N43ta+CXOAfywwJv42XnFM8cdicgsbIycAeU5b1oFhKwHIs5UItkOhUMblWYgmPQNMLuemerYxyllqMXcUbXnutZdAKpamulwyhZoaBkS5eYJK1ZMbYL3zLTmRZSXCAlkhRcIlscKdpa+ivlpqKTRvWu2IvWJFNYX11BAQ57TFSjx2MXtGUk2OAOQ5OW4eb5UqeVa0QlllKU0WqFJjkmdyeVWiU2diXDWo5E9rsakv5btq6VkkS1y3hTwrvZBVqwX5jbPVB9ZQqS8tWQrNm1Y7kWKR5rA2QgIWSbZsRjEOefZ4ukxbeJDntKF1s+EUyTNRFK5gZnUxSZgqNZrMkHbyvHOUJKjuoo14z1Sv6Yb5RLns1uKbuinPgFMzhoizpqSe0onigNWCjEB2qlRX5VwWq0ZMvGiVOGOR5rA2EgK2YmxbqPcCeXZzLsym75DnbNJ3bN8jl2d28lfRVSI2cgn1vi/VR5UsTZ5JtmPGlPmtngL1EsMD2qdsalsqXWFmDF+Z9CqOMzPEhKHKdJ80LpwwGTRtqLGK6lSeJRzDuqmdaUZuNIwrFtkJ1kZAYIS3JcHvnh2b65zsGOTZyaOTNd9GLs8jyIRoCgKeIoDZc9YSmcs7hjy7fADT4z7k2VP6gGCySgDynJ4s5X2rkGfvj3ESEUKes5rP0bmnCECek0hBaIIHSuIYsCcAefaUPiCYrBKAPNtnGZTGIoDZcyxCvtwPec5qPkfnniIAefZlEk1B0JDnFED0ngnIs6f0AcFklQDk2XsZMjMRQZ4zw9llvUCes5rP0bmnCECeXZb+HOMu5NkxQ+EkRyDPntIHBJNVApBnJ+U2N/kCeXbTaGXMV8hzVvM5OvcUAchzxhKXxzqCPHtsQFMTDuTZU/qAYLJKAPKcmqzkPyuQZ/+NeRwRQ56zms/RuacIQJ7jSDmoYkMA8mwDBUWQZ0/pA4LJKgHIMzJqcgQgz8lx83gryHNW8zk69xQByLPH02XawoM8pw2tmw1Dnj2lDwgmqwQgz27Ohdn0HfKcTfqO7RvynNV8js49RQDy7NhE53DHIM8OH6DsuAd59pQ+IJisEoA8ZyeLub9XyLP7xzANEUCes5rP0bmnCECe05CifGES8uyLYU40SMizp/QBwWSVAOQ50fyD+owA5BlHgg0ByHNW8zk69xQByLNNikFRHAQgz3FA8l8VyLOn9AHBZJUA5Nl/GTQ1EUOeU8PRY1Ygz1nN5+jcUwQgzx5LjxkLB/KcMdRu6gjy7Cl9QDBZJQB5dlPuc5KvkGcnjYZjfIE8ZzWfo3NPEYA8OyaxucwRyLPLBiwz7kKePaUPCCarBCDPmcla3usF8uy9MU1BRJDnrOZzdO4pApDnFKQkX5qAPPty2GMFDXn2lD4gmKwSgDzHyjfYb08A8mzPxeelkOes5nN07ikCkGefp9Okw4c8J43Oyw0hz57SBwSTVQKQZy/nynTGBnlOJ13X2oY8ZzWfo3NPEYA8uzYRZtlxyHOWB8CZ3UOePaUPCCarBCDPzsxyzvcK8uz8McqCh5DnrOZzdO4pApDnLKQwT3QJefbEMKY6CMizp/QBwWSVAOQ51fnJL/Ygz34Z6YTihDxnNZ+jc08RgDwnlHxQWRCAPAsUWJEEIM+e0gcEk1UCkGeZWbCWCAHIcyK0fFMX8pzVfI7OPUUA8uybxJniQCHPKQbqDXOQZ0/pA4LJKgHIszeyYuajgDxnnrkLeoQ8ZzWfo3NPEYA8uyDlOdJFyLMjhyXbTkGePaUPCCarBCDP2c5nbu0f8uzWkUur35DnrOZzdO4pApDntCYrDxuHPHt4cJMPzYHyfOLEiZ5t29paW4NFRY8/9tjDc+Y8PGfO4489Fiwqamtt7dm27cSJE55K6gjGKwQgz8lnIn+3hDz7e/wjRO8oeT58+HB7W1vB3LlNTU3d3d39/f3vDw19Qpf3h4b6+/u7u7ubmpoK5s5tb2s7fPiwV7I64vAIAchzhDSD4hgEIM8xAPlzt0PkeXBwsKOjIxgMdnV1nRwcHDx86M1Nm44ubz1YVv7qk0/1/m7uK/MXHG5qHNjc/d7hwyffe6+rqysYDHZ0dAwODnoktSMM9xOAPPszi448asjzyBl60IIT5PnQwYNlZWUbOjs/OHnyrRe2Dqxa+W5t3fGHHx743vdev+sbA3d+/bU77jhyxx0Hvn7nnjvv3H7ffX2Njce397zz5psbOjvLysoOHTzo/sSOCLxAAPLswRSZkZAgzxnB7LZOsi7P+/btW1Rc3NfXd3Lv3tfa2k82Nb1x//1v33nnm3fc8eZtdxy/7bbXbrvt6G23HZl166Gbbz4wa8arM2bsnDVr6y237C0qenfX7r6+vkXFxfv27fNCdkcMLicAeXZb/nOKv5Bnp4yEo/zIrjwfOnhwUXHxwMDA6+vWvbls+Vv/8du3qDC/fvvtx2+77dittx695ZYjs2YdnDlz/4wZfdOm7Zs2bc/Uqbvy8nZOmvTC1Knrp00/unLlwMDAouJizKFdLm1ecB/y7Kjk5iJnIM8uGqzMuZpFeR4cHCwrK+vr6yPaXFf3+uwfv/31249Pn95/ww1Hb7qJCPPMmYdnzNw/fXrf1Jv2TZ26Jy9v99Qp2ydOfOmrX9t69dVbr79+8w03rJs0+eiKFX19fWVlZfge2gsS5+YYIM+Zy1ze6gny7K3xTFE0WZTnjo6ODZ2dJ/fuPRIMvvHAA6/ffvuRyTeeuP/+fzzzzJv33//Kv153cPr0V6dOZcK8c/LkHZMmvXjllTtvv/31uro993zv+Ysv2pib23ntdWtunHRi584NnZ0dHR1uzu3w3fUEIM8pSku+MwN59t2QxxNwtuT58OHDwWDwg5MnD5SXv/HYY8dvue3IlClv33df+J13SJI+ceLo3XfvuPLKPXl5OydPfvmGG7bfeMMLl1/+8syZf+7uPvb+yeN79/Z845trL7zw2SuuWHPVVc/lf+ODkyeDwSB+beV6iXNzAJDneHIO6ugEIM86E5SEsiXP7W1tmzdvfnvHy0ceefS1W289MmXK8W9/O/zeezI5v/PuwXvu2XbZZS/feONLEyduuezS7bNu/vMLL/S/8car+/f39fcf3rnjTzNmrPziF1dccumqK6481LK0q6urva1NWsAaCGSWAOQZKTU5ApDn5Lh5vFVW5PnEiRMFc+cOnjjRv3z5kUDgyIxpB66//ti3vxP+8EM1nf6fd9999Z57Nl144aZLLn5p1qwjW7f2v/nm/v379/b2vvXuu3/7299Wz5q17Nxzl118cdsll3Z++5sn33uvYO5c3FNMZYj1TBKAPHs8XaYtPMhz2tC62XBW5Lln27ampqb3DvfveeihgzfddGDqlL68vN1XXXXkRz8Kf/BBOBz+9NNP//73v//t00+HB17f9fWvb5k8+cjWrYcGBva/+uqePXuINn/4YdPkyZXjxjWddlrDaafVnnZa21cvf3ffvubm5p5t2zKZkX3d15HgdTnXBY84isH62dlzCfLs5lyYTd8hz9mk79i+syLPba2t3d3dA89v2***b706Zcorkyb1Tpq064Ybtl58ad+99/59cPDjcPgvf/nL+0NDJ4aH337llQObNvX19+/Zs2fHyy+//tZbf/3LXxomTqwYN6557Nj6sWPr6F/L+PF9NTVbtmxpa22NLBfrZ+fk5OTMXi9qEIFRNkW53Qqpy5Z4JSkR6zY90g6tfdkWhqVvVJzMGkWiFkFqPjEmCUZm4238RaYehWPxt49a0xx61Kop3wl5dmyic7hjkGeHD1B23MuKPAeLivr7+/dX1+yeNWvvDTf23nD9juuv3z5xYs91/7rpwgt3fe97Q2+9NfS3v7391lvHjh07fPRo3+HDu3fv3r59+wDT5kmTKseNaxkzpnHs2Ab6Vz92bNPpp3c/9FB/f3+wqChy2iW5+zp1xqeJVaS2RFKEUh4Jzo5rzhi3dftejwSvI96aBIxFIDxhDTVJMnW8fjYxwx3W6q6fLXsg7eSWvVcjL9VcGLlJaSGtxmU3tmuQ5+xkMff3Cnl2/ximIYKsyPPjjz32/tDQzoK5u6ZMZsK8feK1L1577Qu5ud3XXffc+ee+cPPNx3buPHr8+IEDB/peeaV39+6XX3554PXXP/zLX5rz8pg2t4wZ0zJmTPPYsc1jxzaOHdv4mc90TL3p/aGhxx97zDZ10kKWu5UMruoY1SY2izQpYjhMJ6fWMmJQb0INziaTdKKsxsKaikkjlUpV78m6jXkiz8GgerKWWg+SYtMZZSUgI3ZakZ0kIPIrm+hVVXk2h2pymFiU3Rpm1LaiNq8mCmQz5p3uQ0SS/DyH6IiucMvSMC/JmT1b5WXQyNQL5DkNKcoXJiHPvhjmRIPMijw/PGfOJ598svm7393xr/+6feLEF6+55oWrr+7Ozd2cm9t5/vlrRo9ePmbM5lmzXj1wYF9fH5s3b33xxaGhobX33VcxbtzyMWOW8b8WukKkeuzYZV/5yieffPLwnDmRszEXBv4qdVdVH3Wd2lLETrGtVhPrZEVIrdKOSi3TVLFqaI6QHsU27/a64BHFCHNbtFfqM3mScmUKbPZ6skn136attXujilLTWBXQwmHehr+SAhG14rt1lXmsGGIFgp7x8YDGocQtO6QdGT2JCmpz4oiCgdnP1H/Ic6L5B/UZAcgzjgQbAtmS5//+7//eMns2mTFffXX3VVdtvvrqjZdf/uzpp68cPbp1zJi1EybsbW/f3de3a9eu7du3b926dcsLL3S9+OLOZ5559pJLWsaMaaV/bWPGyL+xY5d97Wsff/zxw/HIs8j3IsULoaGp3Lwltc6U582VjC1hkFSVG2RNXYy5MlN***GWlZjASKGkisOtKcJp8od2J1RSNqbmmXt2Tc1hUDLGhwKrw1xWuSOCIhFNsybKUJgRHiKnK0zTZmYX7EiKGmKF4dWba66YGaV1C/Jsk2JQFAcByHMckPxXJSvy/Phjjw2+N9jz+O+6rr1281VXbc69uvPiiztGj15BtXnN+PF7n3lm18GDO3fu3N7Ts3X79q3btm3p6tq0adPGl17q7excd9FFrWPGrBg9euXo0av5X8e4catnzBg6eTKOk9ssRdNEL5RGTftSdngyt835tk2EQdJUbsg1bpK+muXZtEuRHzY3JWfMqcrZaaxoKj2l1daLE+HUW7PLrJGlTMq6eTpMKrN9Sv+8rezVcCRCvKJHs5hzM2I3idNkQtQQK8Idc0X9k4LhUSZeIM/+y6CpiRjynBqOHrOSFXkOFhUdPHR4X2Xln669dmNu7nOXXrpy9Og2+l3y6vHj96xZs/PAgZ27dvX09Ay8+eb7J08+t2LFxhde6Nq8ubu7e9uePfu3bOn88pdXjB79DP17dvToZ0eNWveZz3TNmUNuRhbr0jD5tS0RFX7pFREDrhnqupHVSZGUK3ZpmFpNrJMVMVVUNkSFcDi8Psh8YFKjVLJIiNRBUkectJXFvP762bxL1RjTfiUoESxvR18tgsfDtHOY6vPs2fKrb9GW9MV9oOHZNjf6tRVzxU9jIGQ1Gj4zL3qU8kz12WhOa3JTpjAzsQF59lh6zFg4kOeMoXZTR1mR57bW1s2bNx/90/PPXTex86tfZdq8bMyYFV/4wp6VK3ceOLBj585t27YN***mB++/33jttU3nndcZWtG9ffv2np7du3cfHhgY2LF94wUXPDtq1IZRo54fNepPo0aFPnPa/qbG7u7uWD+sMuVuqmBCVuivrshpV1MdkdeZ3Jn260VEH7hBNgPkv+SiykFP6tL9iqAp6iM6IyuqDiuypBbz+tIR2bn6PS2zJiWUtyMfFkTYlsgtDtMmFlfNXhlnrA0P7JqzbqXuSjekFxK+sEAvkGNmlR4VPqImLg1zU/aBr5wA5JmTwKtCICvy3LNtW0Njw5sHD6ydOHHl5z/fSufN7aefvicUItq8Y8e2bduOU21uoL+hWjZmTOjCC7ueeab30KFDBw4cP3586KO/D+3b1z1+wuZTTuk65ZTuU05pP+fcE/v7mpubcFsSqXlYyywBzJ6V1ILVBAhAnhOA5Z+qWZFndlPPt95445np01v/6Z9axoxpGDu27dxzd2zatH3v3pdeemngjTc+GBqqu/HGinHjlvGrwDouuWR3d/fr7703ODj4f/73f98fGtp04YUvnnJKz+hRL5522s6nCk8ODv5nQQFu6plZSUJvkgDk2T+ZM7WRQp5Ty9Mj1rIiz+FwuL2traura3919bLx4xvHjasfO7Zq7NjlV1+9ed26195++4OhoZrrr19C7z2yjF6evXL06I7Ro9d96UvHens/CYf/8v77z8+cuXHUqN1jxvSOHRv63OeOd2/u6sYjMaRUYC3zBCDPHkmLGQ8D8pxx5G7oMFvyLB4ouWbatJZ//ufqsWMrx40rHzeu6cor927YUD3xurJx4xrHjm0ZM2b5mDGhMWM66PVfz40a9cJXv/r6qlVdt9yy+ZRT9o0d2zdu3K7T/2nNzJl4oGTm1Qg9WghAnt2Q85zoI+TZiaOSdZ+yJc/hcLijo2NDZ+e7O3Y0X/DF+tNPXzJuXBn9W0T/19G7dbKfOK+kV2h3jhq1cdSo7lNO2Thq1EunnNI3btzBU0/d9/nPLz/nnMHdu5/fsKGjo8OSLrEJApkkAHnOekJzqQOQZ5cOXHrdzqI8Dw4OlpWV9fX1HW5tbTnnnOrTTy+hwrxk3LjKceOEPLfxqfOfqDZvGzNm1+jRfePGHTr11L7TT28944xjK1e9+uqrZWVlg4ODmczF6AsELAQgz+nNVt61Dnn27tiOILIsynM4HD508OCi4uKBgYH+1taG88+v+cIXSk49tUyRZ/JrK2XqvHXUqJfHjds7btyBU0/dc/rpbeedd2z16oGBgUXFxYcOHrTkSmyCQIYJQJ5HkIp83RTy7OvhjxR8duU5HA7v27dvUXFxX1/fuzt2dEy9qfJf/qXmc5+rGDeump7cFvL8/KhRXaecsn302N5TT931uc+t/uxnn5l18+CePX19fYuKi/ft25fhRIzuQEAnAHmOlGdQHp0A5Dk6H5/uzbo8szl0WVnZhs7OD06efK27+8X/eKTh3POqP//5ls9+tnXcuBVjxjw7ZsyGMWM2n3rqmtNOW/a5z3V+61tHV6764OTJDZ2dZWVlmDfrOoGSrBCAPPs0jY44bMjziBF60YAT5DkcDg8ODnZ0dASDwU2bNg2eOHHi8OE/r1u3e/785+7+but117RcesmaWTdv+cUvDjY1vtvXN/jee12bNweDwY6ODnzfnBUdQqe2BCDPXsyRmYgJ8pwJyq7rwyHyzJLd4cOH29vaCubObWpq6u7u7u/vf39o6BO6vD801N/f393d3dTUVDB3bntb2+HDh21TJApBIFsEIM+uS4AOcRjy7JCBcJYbjpJnll***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**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***LxC+QudOTk0YUrbRTPqb/0uMfvoI494ZqgQCAiAAAiAgH8IZF+eH54z55NPPnnwN0tm/GTLjff3XP+jl6//4Y4bfrjjhh/tvPp7L50/a+2ZU0JnTA5dMGvNjh0vb9zw3Jo1awaOHyPyfPnSM6esOnPSSuVvxZmTVpw1pf1L0xqn3PHYJ5988vCcOf4ZS0QKAiAAAiDgGQJOkefZvyq76cddE3/w0nWBnuu+3zPx+9sv+9bmCdNWjc9bMWHqqjOnrLxg5trt219et3b1ypUrjr9G5flry86cvPrMSavMfyvPmhz60vSmyZBnzxykCAQEQAAE/Ecg+/LMTm4/9JuivB9tuPa+F3Lvfema+7ZdetfGCdNWTZhK/s6auuqMSau+OGvdtp6XV69qC4VCbPY87vLl4yevGT9pzfhJHezvzElErSdMWXHR9Mabv/VbnNz23/GMiEEABEDAIwSyL8/s0rDf/T54Y2DtNf+2OfeeLZfc9TzR5mmrJ0wlf2flreby3LN6VduqVasGBo5dMeOP4y5vGz953fhJz55F/8ZPWjt+0tqzJnWcM2XlpTMb7v9pAS4N88hBijBAAARAwH8Esi/P7IdVdQ3L8u5tz73n+a/kbzh7+uqzpxvaPCFv9Vl5HWdMWn3BrPXbel5+du3qdevWv/nmwJUznjz18pVnTemcMOm5syY/d9Yk9rd+wqS1501dddHUisVL6vHDKv8dz4gYBEAABDxCIPvyzG5L0tf36m0/qL76O2vPu7nj7OkdZ0/rmDCtY8LUjrPyOs7KW3PGpDUXzHhu+/aXN218rqur+92336LyvHrClI1nT35+gvG3YcLkzrMnr79w2sorps/HbUk8coQiDBAAARDwJYHsy7O4qefPfv30FXcuu2DminOmrybyPLVjQt6aCXlrzspbc+aktRfM2LB3756ebS/s2LlzcPDEVTMLP3P5mrOndJ09eTP923T25E1nT/7TBVPXXzar9Z4fFgwODhbMnYubevryqEbQIAACIOB6AtmX5+HhYfZIjHXrO6/5eslFtyw/d/qqc4g8E20m8jxl7ZmTnj1/xob9Bw8d2L/3tWOvffiXD66a9dRnvvrsuXlbzpmy5Zwp3edM6T53ctd5UzZdPOPZy28qfXbdhu7ubjwSw/WHJwIAARAAAb8ScIQ8iwdKzvn1H756S92XZobOmc6mzmsn5D07Ycq6MyetP3/6htdee2PwxDt//evf/vrXD3OJPK87P+/Fc6ewvxfOz+v+8rTnr7q1/cGfP4UHSvr1eEbcIAACIOARAo6Q5+Hh4fb29g2dnYcOHb71u09cdkvjF2euPHvqM2fnrZ2Qt27ClOfGT+o8d+qGwcGh//mfjz/99H/++tcPr7ll3mcuf+6CqdvOz9t2Qd5LX8zbeuG0zVfe0jHjG384cuTI8xs2tLe3e2SIEAYIgAAIgID/CDhFno8dO1ZaWtrX17d5c/dN3/zDV25u+uL0VedMXXtO3rqz8zrPmvT82ZM3bOju++ijv3/00Uc9u/58+dQnP/+1DRdM3X5B3rYvTn3p4uldV926dsodT2954aVXX321tLT02LFj/htNRAwCIAACIOARAk6R5+Hh4d27dhUHgwMDA11dW275ztzLZjVcODN0/k1rz5363Ll5G8+e***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***uu/k0eyBdC+TZ1ccknAcBEAABEBhOhzxHmz2TmbM8Dz6QngXyjCMbBEAABEDA3QRSJc+K5kZW54GGu9mJ7Ya703qGG/Ls7oMS3oMACIAACKRMngfoJV/qldvk+mzT18zigm22Qk6Aa3UGUrFAnnFggwAIgAAIuJtAKuV5wCkL5NndByW8BwEQAAEQgDzjtiR4F4AACIAACDiOAOQZ8uy4gxIOgQAIgAAIQJ4hz3gXgAAIgAAIOI4A5Bny7LiDEg6BAAiAAAgwefbk/3gGF/fcjocS6oAACIAACGSagCeFmQUVD8o0ynNRUVF+fn48TqAOCIAACIAACICASiA/P/+Pf/zjvHnzgsFgSUlJeXl5RUVFVVVVTU1NTsi8tPOlra2tlS7Lli1bunRpS0tLc3NzY2NjQ0NDXV1ddXV1RUXF4sWLIc8qaKyDAAiAAAiAQPwEhDwXFRWNVJ7r6+shz/GjR00QAAEQAAEQiEQglfKM2XMkyigHARAAARAAgYQIQJ4TwoXKIAACIAACIJAJAkKeU//dczAYxKVhmRhD9AECIAACIOA5AiO9cptdHdbc3NzU1NTQ0FBfX19TU8MuDYM8e+5oQUAgAAIgAAIZIsDkecGCBcXFxaWlpeXl5ZWVldXV1bW1tXFdua3Kc2NjI+Q5Q+OGbkAABEAABDxNID8/v7CwkMnz4sWLlyxZkoA8L1++XJfn2traysrKsrKy4uJinNz29MGD4EAABEAABNJFID8//6mnnlq4cOGiRYvKysoqKiqSkWf1p8+1/197d7caIQxEAfgh80iNmsTE/BAVhBgVtsj6koUOFfFm24I37skDCPspHJLJzKY0DEPXdd57xPNV7w3PhQAEIACBWwswxqSU1PRM8UwzScZxPB9ub9v2M5jkSZNJ9t3zMZ6p9bnv+xAC4vnWHw9+HAQgAAEIXCXAGKORYTHGY+E55/zneF6W5VR+Rjxf9d7wXAhAAAIQuLUAY0xr7b2PMdI4T7oX9qt4Xtf183s9Hg+6vE2jPffzbYYFAQhAAAIQgMC/BPaO573qPI7jNE2vd8/rup7Ot6m9ah/t2bZtCMFaa4yp61opJaUUQlRVVZZlURSc8w8sCEAAAhCAwBsLcM6LoijLsqoqIYRSSmtNVWe6s01V55RSznme5xfxfCo/HzfQOeeUEv03Rtd1McYQgnPOWts0jTFGa31Ma4EFAQhAAAIQeFcBKaVSqq5rrbUxxlrrnAshnPqpaOs8z/MX74MI+97OiJsAAAAASUVORK5CYII=[/img]IDE:Keil MDK 5.20.0.0 Jlink驱动版本:7.98a 仿真器:ARM v9 芯片:GD32F103ZET6, no sram 连线:VCC 5v、GND、DI、CLK都和仿真器出的排线对接上了。且板子上的pwr灯是亮的。 1- 5v 2-GND 3-DI 数据线 4-CLK 时钟

    5V 仿真 No Device Cortex-M GD32

    413浏览量 2回复量 关注量
  • 求助 HC89F0332 HCLINK跑不进去主函数 sos

    启动文件我用的是官网下载的 hclink仿真的时候走不进去。软件模拟可以自己跑下去。 完全无头绪,不知道怎么解决。

    模拟 启动文件 仿真 函数 link HC

    482浏览量 0回复量 关注量
  • 雅特力单片机AT_LINK仿真时报错probe not found

    IAR8.10.1的版本,雅特力单片机用AT_LINK仿真时报错probe not found,驱动卸载后重新装也是一样

    ar 雅特力单片机 No AT_LINK 仿真 probe

    369浏览量 1回复量 关注量
  • NE564 sos

    哪位大佬知道Multisim怎么搭建NE565的锁相环芯片呀?找了官网的芯片内部电路图,但是有的电阻没有标明阻值该怎么办?求大佬指点!跪谢了!

    官网 Multi 芯片 仿真 ne564

    2688浏览量 0回复量 关注量
  • 找设计变压器的工程师做私 教。 赏300家园币

    [align=left][color=rgb(17, 17, 17)][backcolor=#FFFFFF][font=XinGothic-SinaWeibo, "][size=16px][b]想找设计变压器工程师做私教。一定要会仿真。我的电路反激变压器和正激变压器,都不能升压。电路工程师最好会用仿真软件演示。​ [/b][/size][/font][/backcolor][/color][/align][align=left][color=rgb(17, 17, 17)][backcolor=#FFFFFF][font=XinGothic-SinaWeibo, "][size=16px][b]1.想要赚钱的不要来。我是个人做实验,学习的。不是公司做产品盈利的。[/b][/size][/font][/backcolor][/color][/align][align=left][color=rgb(17, 17, 17)][backcolor=#FFFFFF][font=XinGothic-SinaWeibo, "][size=16px][b]2.付款方式必须同意淘宝或者咸鱼付款。拒绝没有干活前,要求我直接先扫码支付![/b][/size][/font][/backcolor][/color][/align]

    仿真 变压器 工程师 设计 电路仿真 电源

    8244浏览量 2回复量 关注量
  • 反激变压器的升压计算,元器件取值与仿真。 赏200家园币

    哪位大佬设计过 反激式升压变压器,我有个电路因同名端太复杂,我有点晕看不懂,可以帮我讲解一下这个电路吗?最好会仿真,仿真看下。我实物搭建了一下电路,但是没有升压,不知道问题出在哪里。

    电路 反激变压器 升压 元器件 仿真 模拟仿真

    7239浏览量 2回复量 关注量
  • modelsim仿真问题 赏800家园币

    [i=s] 本帖最后由 awbl 于 2023-8-27 13:33 编辑 [/i] 在学习FIR的过程中遇到了仿真问题,大家帮忙看一下。1、modelsim版本:modelsim-Altera 10.3d 2、quartus版本 :Quartus II 15.0 (64-bit) 3、主程序fir.v:[list=1] [*] module fir( [*] clk , [*] rst_n , [*] key , [*] dac_mode , [*] dac_sleep , [*] dac_clka , [*] dac_dat_a , [*] dac_wra , [*] dac_clkb , [*] dac_dat_b , [*] dac_wrb [*]); [*] [*]input clk ; [*]input rst_n ; [*]input [7:0] key ; [*]output dac_mode ; [*]output dac_sleep ; [*]output dac_clka ; [*]output [7:0] dac_dat_a ; [*]output dac_wra ; [*]output dac_clkb ; [*]output [7:0] dac_dat_b ; [*]output dac_wrb ; [*] [*]//信号类型定义。 [*]reg [7:0] sin_data ; [*]reg [31:0] cnt1 ; [*]wire add_cnt1 ; [*]wire end_cnt1 ; [*]wire [16:0] addr_temp ; [*]wire [6:0] addr ; [*]reg [12:0] x ; [*]reg [7:0] dac_dat_a ; [*]wire dac_sleep ; [*]wire dac_mode ; [*]wire dac_clka ; [*]reg [1:0] cnt0 ; [*]wire add_cnt0 ; [*]wire end_cnt0 ; [*]wire [7:0] fir_dout ; [*]wire fir_dout_vld; [*]reg [7:0] dac_dat_b ; [*]wire dac_clkb ; [*]wire dac_wrb ; [*]wire [7:0] fir_din ; [*]wire [7:0] fir_dout2 ; [*]//设计正弦信号。 [*]always @(*) begin [*] case(addr) [*]0: sin_data = 8'h7F; [*]1: sin_data = 8'h85; [*]2: sin_data = 8'h8C; [*]3: sin_data = 8'h92; [*]4: sin_data = 8'h98; [*]5: sin_data = 8'h9E; [*]6: sin_data = 8'hA4; [*]7: sin_data = 8'hAA; [*]8: sin_data = 8'hB0; [*]9: sin_data = 8'hB6; [*]10: sin_data = 8'hBC; [*]11: sin_data = 8'hC1; [*]12: sin_data = 8'hC6; [*]13: sin_data = 8'hCB; [*]14: sin_data = 8'hD0; [*]15: sin_data = 8'hD5; [*]16: sin_data = 8'hDA; [*]17: sin_data = 8'hDE; [*]18: sin_data = 8'hE2; [*]19: sin_data = 8'hE6; [*]20: sin_data = 8'hEA; [*]21: sin_data = 8'hED; [*]22: sin_data = 8'hF0; [*]23: sin_data = 8'hF3; [*]24: sin_data = 8'hF5; [*]25: sin_data = 8'hF7; [*]26: sin_data = 8'hF9; [*]27: sin_data = 8'hFB; [*]28: sin_data = 8'hFC; [*]29: sin_data = 8'hFD; [*]30: sin_data = 8'hFE; [*]31: sin_data = 8'hFE; [*]32: sin_data = 8'hFE; [*]33: sin_data = 8'hFE; [*]34: sin_data = 8'hFE; [*]35: sin_data = 8'hFD; [*]36: sin_data = 8'hFC; [*]37: sin_data = 8'hFA; [*]38: sin_data = 8'hF8; [*]39: sin_data = 8'hF6; [*]40: sin_data = 8'hF4; [*]41: sin_data = 8'hF1; [*]42: sin_data = 8'hEF; [*]43: sin_data = 8'hEB; [*]44: sin_data = 8'hE8; [*]45: sin_data = 8'hE4; [*]46: sin_data = 8'hE0; [*]47: sin_data = 8'hDC; [*]48: sin_data = 8'hD8; [*]49: sin_data = 8'hD3; [*]50: sin_data = 8'hCE; [*]51: sin_data = 8'hC9; [*]52: sin_data = 8'hC4; [*]53: sin_data = 8'hBE; [*]54: sin_data = 8'hB9; [*]55: sin_data = 8'hB3; [*]56: sin_data = 8'hAD; [*]57: sin_data = 8'hA7; [*]58: sin_data = 8'hA1; [*]59: sin_data = 8'h9B; [*]60: sin_data = 8'h95; [*]61: sin_data = 8'h8F; [*]62: sin_data = 8'h89; [*]63: sin_data = 8'h82; [*]64: sin_data = 8'h7D; [*]65: sin_data = 8'h77; [*]66: sin_data = 8'h70; [*]67: sin_data = 8'h6A; [*]68: sin_data = 8'h64; [*]69: sin_data = 8'h5E; [*]70: sin_data = 8'h58; [*]71: sin_data = 8'h52; [*]72: sin_data = 8'h4C; [*]73: sin_data = 8'h46; [*]74: sin_data = 8'h41; [*]75: sin_data = 8'h3C; [*]76: sin_data = 8'h36; [*]77: sin_data = 8'h31; [*]78: sin_data = 8'h2C; [*]79: sin_data = 8'h28; [*]80: sin_data = 8'h23; [*]81: sin_data = 8'h1F; [*]82: sin_data = 8'h1B; [*]83: sin_data = 8'h17; [*]84: sin_data = 8'h14; [*]85: sin_data = 8'h11; [*]86: sin_data = 8'hE ; [*]87: sin_data = 8'hB ; [*]88: sin_data = 8'h9 ; [*]89: sin_data = 8'h7 ; [*]90: sin_data = 8'h5 ; [*]91: sin_data = 8'h3 ; [*]92: sin_data = 8'h2 ; [*]93: sin_data = 8'h1 ; [*]94: sin_data = 8'h1 ; [*]95: sin_data = 8'h1 ; [*]96: sin_data = 8'h1 ; [*]97: sin_data = 8'h1 ; [*]98: sin_data = 8'h2 ; [*]99: sin_data = 8'h3 ; [*]100: sin_data = 8'h4 ; [*]101: sin_data = 8'h6 ; [*]102: sin_data = 8'h7 ; [*]103: sin_data = 8'hA ; [*]104: sin_data = 8'hC ; [*]105: sin_data = 8'hF ; [*]106: sin_data = 8'h12; [*]107: sin_data = 8'h15; [*]108: sin_data = 8'h19; [*]109: sin_data = 8'h1D; [*]110: sin_data = 8'h21; [*]111: sin_data = 8'h25; [*]112: sin_data = 8'h2A; [*]113: sin_data = 8'h2E; [*]114: sin_data = 8'h33; [*]115: sin_data = 8'h38; [*]116: sin_data = 8'h3E; [*]117: sin_data = 8'h43; [*]118: sin_data = 8'h49; [*]119: sin_data = 8'h4E; [*]120: sin_data = 8'h54; [*]121: sin_data = 8'h5A; [*]122: sin_data = 8'h60; [*]123: sin_data = 8'h67; [*]124: sin_data = 8'h6D; [*]125: sin_data = 8'h73; [*]126: sin_data = 8'h79; [*]127: sin_data = 8'h7F; [*] endcase [*]end [*] [*]always @(posedge clk or negedge rst_n)begin [*] if(!rst_n)begin [*] cnt1 <= 0; [*] end [*] else if(add_cnt1)begin [*] if(end_cnt1) [*] cnt1 <= 0; [*] else [*] cnt1 <= cnt1 + 1; [*] end [*]end [*] [*]assign add_cnt1 = 1 ; [*]assign end_cnt1 = 0 ; [*] [*] [*]always @(*) begin [*] if(key == 0)begin [*] x = 262 ; [*] end [*] else if(key == 1)begin [*] x = 524 ; [*] end [*] else if(key == 2)begin [*] x = 786 ; [*] end [*] else if(key == 3)begin [*] x = 1029 ; [*] end [*] else if(key == 4)begin [*] x = 1311 ; [*] end [*] else if(key == 5)begin [*] x = 1573 ; [*] end [*] else if(key == 6)begin [*] x = 1835 ; [*] end [*] else begin [*] x = 2097 ; [*] end [*]end [*]assign addr_temp = cnt1 * x ; [*]assign addr = addr_temp >> 10 ; [*] [*] [*]//A通道输出。 [*]always @(posedge clk or negedge rst_n)begin [*] if(rst_n==1'b0)begin [*] dac_dat_a <= 0 ; [*] end [*] else begin [*] dac_dat_a <= 255 - sin_data ; [*] end [*]end [*] [*]assign dac_sleep = 0 ; [*]assign dac_mode = 1 ; [*]assign dac_wra = dac_clka ; [*]assign dac_clka = ~clk ; [*] [*]//设计滤波器。 [*]always @(posedge clk or negedge rst_n)begin [*] if(!rst_n)begin [*] cnt0 <= 0; [*] end [*] else if(add_cnt0)begin [*] if(end_cnt0) [*] cnt0 <= 0; [*] else [*] cnt0 <= cnt0 + 1; [*] end [*]end [*] [*]assign add_cnt0 = 1 ; [*]assign end_cnt0 = add_cnt0 && cnt0== 4 - 1 ; [*]assign fir_din = sin_data - 128 ; [*]ip_fir_1 module_2( [*] .clk (clk ), [*] .reset_n (rst_n ), [*] .ast_sink_data (fir_din ), [*] .ast_sink_valid (end_cnt0 ), [*] .ast_sink_error (2'b00 ), [*] .ast_source_data (fir_dout ), [*] .ast_source_valid (fir_dout_vld ), [*] .ast_source_error ( ) [*] ); [*]assign fir_dout2 = fir_dout + 128 ; [*]always @(posedge clk or negedge rst_n)begin [*] if(rst_n==1'b0)begin [*] dac_dat_b <= 0 ; [*] end [*] else if(fir_dout_vld) begin [*] dac_dat_b <= 255 - fir_dout2 ; [*] end [*]end [*] [*]assign dac_wrb = dac_clkb ; [*]assign dac_clkb = ~clk ; [*]endmodule [/list] 4、IP核文件 ip_fir_1.v : [list=1] [*]`timescale 1 ps / 1 ps [*]module ip_fir_1 ( [*] input wire clk, // clk.clk [*] input wire reset_n, // rst.reset_n [*] input wire [7:0] ast_sink_data, // avalon_streaming_sink.data [*] input wire ast_sink_valid, // .valid [*] input wire [1:0] ast_sink_error, // .error [*] output wire [7:0] ast_source_data, // avalon_streaming_source.data [*] output wire ast_source_valid, // .valid [*] output wire [1:0] ast_source_error // .error [*] ); [*] [*] ip_fir_1_0002 ip_fir_1_inst ( [*] .clk (clk), // clk.clk [*] .reset_n (reset_n), // rst.reset_n [*] .ast_sink_data (ast_sink_data), // avalon_streaming_sink.data [*] .ast_sink_valid (ast_sink_valid), // .valid [*] .ast_sink_error (ast_sink_error), // .error [*] .ast_source_data (ast_source_data), // avalon_streaming_source.data [*] .ast_source_valid (ast_source_valid), // .valid [*] .ast_source_error (ast_source_error) // .error [*] ); [*] [*]endmodule [/list] 5、测试文件 test_fir.v : [list=1] [*]`timescale 1 ns/1 ns [*] [*]module test_fir(); [*] [*]//时钟和复位 [*]reg clk ; [*]reg rst_n; [*] [*]//uut的输入信号 [*]reg[7:0] key ; [*] [*]//uut的输出信号 [*]wire dac_mode ; [*]wire dac_sleep ; [*]wire dac_clka ; [*]wire [7:0] dac_dat_a ; [*]wire dac_wra ; [*]wire dac_clkb ; [*]wire [7:0] dac_dat_b ; [*]wire dac_wrb ; [*] [*] [*]//时钟周期,单位为ns,可在此修改时钟周期。 [*]parameter CYCLE = 200; [*] [*]//复位时间,此时表示复位3个时钟周期的时间。 [*]parameter RST_TIME = 3 ; [*] [*]//待测试的模块例化 [*]fir uut( [*] .clk (clk ), [*] .rst_n (rst_n ), [*] .key (key ), [*] .dac_mode (dac_mode ), [*] .dac_sleep (dac_sleep ), [*] .dac_clka (dac_clka ), [*] .dac_dat_a (dac_dat_a ), [*] .dac_wra (dac_wra ), [*] .dac_clkb (dac_clkb ), [*] .dac_dat_b (dac_dat_b ), [*] .dac_wrb (dac_wrb ) [*] [*]); [*] [*] [*]//生成本地时钟50M [*]initial begin [*] clk = 0; [*] forever [*] #(CYCLE/2) [*] clk=~clk; [*]end [*] [*]//产生复位信号 [*]initial begin [*] rst_n = 1; [*] #2; [*] rst_n = 0; [*] #(CYCLE*RST_TIME); [*] rst_n = 1; [*]end [*] [*]//输入信号key赋值方式 [*]initial begin [*] forever begin [*] #(10000*CYCLE); [*] //赋初值 [*] key = 0; [*] #(10000*CYCLE); [*] key = 1; [*] #(10000*CYCLE); [*] key = 2; [*] #(10000*CYCLE); [*] key = 3; [*] #(10000*CYCLE); [*] key = 4; [*] #(10000*CYCLE); [*] key = 5; [*] #(10000*CYCLE); [*] key = 6; [*] #(10000*CYCLE); [*] key = 7; [*]end [*]end [*]endmodule [/list] 6、quartus和modelsim联合仿真报错如下图: [attach]2152750[/attach] [attach]2152748[/attach] 恳请各位指正!!

    DAC DA 仿真 modelsim FPGA

    26636浏览量 7回复量 关注量
  • 关于modelsim的仿真问题。 sos

    [i=s] 本帖最后由 awbl 于 2023-8-26 17:40 编辑 [/i] [color=#444444][backcolor=rgb(255, 255, 255)][font=Tahoma, "]在跟着B站视频学习到FIR时,仿真遇到问题。[/font][/backcolor][/color] [color=#444444][backcolor=rgb(255, 255, 255)][font=Tahoma, "]1、quartus版本:Quartus (Quartus Prime 18.1) Lite Edition[/font][/backcolor][/color] [color=#444444][backcolor=rgb(255, 255, 255)][font=Tahoma, "]2、modelsim是从altera官网下载的pro 18.1 ,已经解锁。[/font][/backcolor][/color] [color=#444444][backcolor=rgb(255, 255, 255)][font=Tahoma, "]3、联合仿真时出现以下错误: [/font][/backcolor][/color][img=600,0]http://www.fpgabbs.cn/data/attachment/forum/202308/25/171117k153sus5p2i5js3l.png[/img] [align=left][font=Arial][float=right] [/float][/font][/align][color=#444444][backcolor=rgb(255, 255, 255)][/backcolor][/color][img=598,0]http://www.fpgabbs.cn/data/attachment/forum/202308/25/171117z64f68j1o6tf0jz6.png[/img][color=#444444][backcolor=rgb(255, 255, 255)][/backcolor][/color] [color=#444444][backcolor=rgb(255, 255, 255)][font=Tahoma, "]4、单独仿真时出现以下错误: [/font][/backcolor][/color][img=600,0]http://www.fpgabbs.cn/data/attachment/forum/202308/25/171142uglnnhinm9ph5mnm.png[/img] [color=#444444][backcolor=rgb(255, 255, 255)][font=Tahoma, "]诸位大佬指点迷经,感激不尽[/font][/backcolor][/color]{:cry:}

    UART Quartus 仿真 modelsim FPGA

    3678浏览量 0回复量 关注量
  • keil仿真看不到system viewer

    [img]data:image/png;base64,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[/img]使用AT32F413RCT7在仿真时,system viewer是空的,请问怎么才能显示呢

    keil 仿真 AT32

    1441浏览量 3回复量 关注量
  • 航顺单片机用什么仿真比较好。

    proteus,还是什么软件,里面是否有航顺的单片机?

    仿真 单片机 Proteus 软件 TE

    1018浏览量 4回复量 关注量
  • SH79F085X 只能用JATG 口仿真吗?

    SH79F085X 只能用JATG 口仿真吗?

    仿真

    686浏览量 8回复量 关注量
  • Modelsim仿真FIR IP核遇到问题 sos

    在自己的设计中调用Quartusii生成的firIP核,我的设计是verilg,fir的顶层是verilog,功能实现全是vhdl。然后在modelsim中进行仿真,仿真时提示错误Instantiation of 'fir_top_0002' failed.quartus版本是13.0 modelsim版本是 modelsim_altera 10.1d 百度也查不到。。求助高手。。

    modelsim 仿真 FIR ip核 TI

    751浏览量 0回复量 关注量
  • LM358仿真结果与实际结果不符,请大神指点,拜谢!

    [i=s] 本帖最后由 yydianzi 于 2020-8-26 16:27 编辑 [/i] [img]C:\Users\Administrator\Desktop\1.png[/img]

    LM358 仿真 ADM DM ni

    1833浏览量 8回复量 关注量
  • ATSAMD20J17的工程创建 sos

    新手一枚,求教用LEIL如何创建SAMD20工程,以及下载、仿真配置。

    AMD SAMD20 sam 仿真

    706浏览量 0回复量 关注量
1234下一页