打印

fifo写问题

[复制链接]
629|5
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
yufe|  楼主 | 2015-7-31 16:43 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
68013a,gpif,fifo写,fifo用FPGA来实现,
问题:我每次写n个数据的时候,wr_FIFO的长度却是n+1个clk长度,结果写进FIFO的却是n+1个数据?
沙发
wangpe| | 2015-7-31 16:43 | 只看该作者
GPIF波形有问题?你写的是8位的还是16位的?

使用特权

评论回复
板凳
llia| | 2015-7-31 16:44 | 只看该作者
用的是AUTO OUT?

使用特权

评论回复
地板
zhanglli| | 2015-7-31 16:44 | 只看该作者
1是波形
2是初始化
3是循环

使用特权

评论回复
5
wangzsa| | 2015-7-31 16:44 | 只看该作者
对,比照一下CYPRESS的GPIF例子,用串口仿真一下。

使用特权

评论回复
6
yufe|  楼主 | 2015-7-31 16:44 | 只看该作者
好的,我对比一下,谢谢大家

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

983

主题

10170

帖子

1

粉丝