打印

DSP与FPGA通过数字IO接口通讯,如何处理?

[复制链接]
1075|4
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
xueyuanbendan|  楼主 | 2015-8-10 17:20 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
我拿到的主控板硬件电路图中显示,DSP与FPGA通讯分为两部分,一部分是通过总线收发器(是一个电平转换器SN54LS245)来实现16路数据总线和16路地址总线。
另外一部分是DSP的GPIO引脚直接链接到FPGA上,也就是通过GPIO7/9/11/12/13/14/15/16/17/18/19/20,这些引脚直接接到FPGA上。
这些数字IO口是用来接收FPGA发送过来的保护信号的,当传输过来故障指示信号时,DSP保护程序动作,发出保护信号。
我的问题是,能够直接将这些GPIO作为输入引脚么,然后在程序中运算。
FPGA传输过来的数字信号,能够直接送到DSP中么?需要中间步骤来处理么?

相关帖子

沙发
zhangmangui| | 2015-8-10 21:48 | 只看该作者
如果两端的电平不匹配   就用电平转换芯片转换一下   
接下来就一边主一边从   然后进行通信
肯定是可以传输的啊

使用特权

评论回复
板凳
shenmu2012| | 2015-8-11 21:44 | 只看该作者
这个首先需要注意的是这两者的IO口线的电平信号的匹配问题的。

使用特权

评论回复
地板
comeon201208| | 2015-8-15 14:54 | 只看该作者
通讯时一主一从的注意其协议机制的。

使用特权

评论回复
5
小葱521| | 2015-8-15 20:34 | 只看该作者
这个问题 我这里有完美的解决方案。
DSP335与斯巴达3的通讯。
DSP做PWM输出控制伺服与步进。
FPGA做四倍频的编码器捕获。
速度非常快。运行超级稳定!

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

4

主题

10

帖子

0

粉丝