21ic电子技术开发论坛 已关闭版块 ZLG flash memory 初始化ADC 问题请教版主
发新帖我要提问
返回列表
打印
[Actel FPGA]

flash memory 初始化ADC 问题请教版主

[复制链接]
1062|2
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
yesiam|  楼主 | 2008-3-5 20:28 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
沙发
金桥ACTEL| | 2008-3-7 16:43 | 只看该作者

AD采样负电压

对于采样负电压,可以直接在最大电压那里输入负的就可以了,对于多路,通道是自己会切换的,当然顺序是你自己可以定义的。

使用特权

评论回复
板凳
yesiam|  楼主 | 2008-3-10 16:04 | 只看该作者

多路模拟ADC输入问题请教版主

  我用flashmen 初始化ADC 但是ADC要求有多路模拟信号采样输入 请教在ADC转换过程中 我怎么把读出的ADC RESULT 分开啊  怎么确定对每个模拟输入的采样时间?急切啊?

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

34

主题

63

帖子

0

粉丝
关闭 热门推荐
快速回复 在线客服 返回列表 返回顶部