打印
[Actel FPGA]

8051在总线方式下输入输出的问题

[复制链接]
830|1
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
魔力鸟|  楼主 | 2008-3-15 16:20 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
沙发
whqonline| | 2008-3-16 20:58 | 只看该作者

我也想知道

自己试了port3o[7:6]
好像不行

还有,那原来的数据口是双向的,在fpga内应怎么定义才好呢?

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

7

主题

16

帖子

0

粉丝