打印
[Actel FPGA]

关于VHDL编写的乘法器的问题

[复制链接]
1589|7
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
ycdianziguan|  楼主 | 2009-2-20 17:33 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
LIBRARY IEEE; 
USE IEEE.STD_LOGIC_1164.ALL; 
USE IEEE.STD_LOGIC_ARITH.ALL; 
USE IEEE.STD_LOGIC_UNSIGNED.ALL; 
 
ENTITY T2 IS 
PORT ( 
  amp   : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
  bdata  : IN STD_LOGIC_VECTOR(6 DOWNTO 0);  
  data     : OUT STD_LOGIC_VECTOR(14 DOWNTO 0)
  );
END T2; 
ARCHITECTURE arch OF T2 IS 
begin
    process(amp,bdata)
        variable temp: std_logic_vector(14 downto 0);
    BEGIN 
        temp(14 downto 0)<= amp(7 DOWNTO 0)*bdata(6 DOWNTO 0); 
        data<=temp(14 downto 8);
    end process;
END ARCH;
要将AMP*BATAT的高7位输出给DATA,但用MUX-PLUS编译有错,不知为啥

相关帖子

沙发
linux123| | 2009-2-25 09:19 | 只看该作者

初学者,过来看看

使用特权

评论回复
板凳
usber| | 2009-5-9 13:11 | 只看该作者

我还是初学者,编程只能看明白一点点

使用特权

评论回复
地板
beinghu| | 2009-7-3 16:38 | 只看该作者

把错误信息贴出来

首先,temp应该是temp :=

使用特权

评论回复
5
虚拟电路| | 2009-8-25 08:51 | 只看该作者
初学者实在是帮不上什么忙

使用特权

评论回复
6
285385799| | 2009-9-20 20:04 | 只看该作者
数据的类型搞错了;temp:
.............

使用特权

评论回复
7
孤独行者| | 2009-10-25 10:35 | 只看该作者
我不喜欢看程序

使用特权

评论回复
8
孤独行者| | 2009-10-25 10:35 | 只看该作者
如果试图想还是可以帮你分析一下

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

3

主题

3

帖子

0

粉丝