打印

VHDL编译报错,请高手指点错误。

[复制链接]
2428|4
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
xubanghui|  楼主 | 2007-7-12 09:03 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
8位比较器,大于DCH时,输出=1,否则=0,VHDL程序如下:
LIBRARY  IEEE ;
USE  IEEE.STD_LOGIC_1164.ALL ;
ENTITY  comparator_bc  IS  --  表示8位数值比较器>=DCH,
PORT (  dataA  :  IN  STD_LOGIC_VECTOR ( 7 DOWNTO  0 ) ;
        rfmute :  IN STD_LOGIC;
        greater_bc  :  OUT  STD_LOGIC ;  --  A大于DCH输出信号
END  ENTITY  comparator_bc ;

ARCHITECTURE  behavioral  OF  comparator_bc IS
SIGNAL  dataB : IN  STD_LOGIC_VECTOR ( 7 DOWNTO  0 ) ;

BEGIN
inst_comparator : PROCESS ( rfmute,dataA, dataB )
BEGIN
    dataB <= "11011100";   --dataB=DCH
    IF  ( rfmute='1'  )  THEN
            FOR  i  IN  7  DOWNTO  0  LOOP
            IF  ( dataA ( i ) = '1'  AND  dataB ( i ) = '0' )  THEN
               greater_bc  <=   '1' ;
            EXIT ;   --  已经判断出dataA> dataB,则跳出循环
              
            ELSE
               greater_bc  <=   '0' ;
            END LOOP;     
    ELSE
    greater_bc  <=   '0' ;
    END  IF ;
END  PROCESS  inst_comparator ;
END  ARCHITECTURE  behavioral ;


编译总是报错
Error (10500): VHDL syntax error at comparator_bc.vhd(7) near text "END";  expecting an identifier ("end" is a reserved keyword), or "constant", or "file", or "signal", or "variable"
Error (10500): VHDL syntax error at comparator_bc.vhd(12) near text "BEGIN";  expecting an identifier ("begin" is a reserved keyword), or "constant", or "file", or "signal", or "variable"
Error (10500): VHDL syntax error at comparator_bc.vhd(13) near text ")";  expecting ":", or ","

请高手指点。谢谢

相关帖子

沙发
mybao| | 2007-7-12 09:15 | 只看该作者

这里END ENTITY comparator_bc ;

多了个ENTITY  

使用特权

评论回复
板凳
xubanghui|  楼主 | 2007-7-12 10:56 | 只看该作者

谢谢 ,我怎么说报ENTITY错

谢谢 ,我怎么说报ENTITY错

使用特权

评论回复
地板
xubanghui|  楼主 | 2007-7-12 11:01 | 只看该作者

不对,还是一样报错

不对,还是一样报错
去掉下面的(END  ENTITY  comparator_bc ;)中的ENTITY.书例题中都需要的。

使用特权

评论回复
5
mybao| | 2007-7-12 13:03 | 只看该作者

re

END  ENTITY  comparator_bc ;前面少了圆括号,并删除一个“;”

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

15

主题

39

帖子

0

粉丝