我用的版本是QUARTUS 2,想仿真一个D触发器的程序源程序如下 library ieee; use ieee.std_logic_1164.all; entity DFF is port(clk,d:in std_logic; q:out std_logic); end dff; architecture rtl of DFF is begin process(clk) begin if(clk'event and clk='1')then q<=d; end if; end process; end rtl; 由于建立工程才能仿真,所以我建立了一个最底层文件夹名为example_dff的文件夹,然后建立了一个工程名为dff的工程最后把层次化设计的顶层设计实体名称也设成了dff,可是仿真的时候说我Error: Top-level design entity "dff" is undefined,顶层实体未定义,怎么办? |