打印

用VHDL程序怎么写这个程序

[复制链接]
1973|3
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
20032162|  楼主 | 2007-1-31 17:01 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
由于毕业设计的需要,我要使用CPLD实现产生这样一个脉冲发生器:我通过单片机向CPLD写入所要产生的脉冲个数,然后脉冲由CPLD产生。
我自己写了一个程序是这样的,可是根本不能实现产生脉冲的功能:
DRIVER:PROCESS(CLK,MOTORGO)
BEGIN
IF(CLK'EVENT AND CLK='1')THEN
  IF(MOTORGO='1')THEN
   IF(PWMRR<PWMR)THEN
   PWMRR<=PWMRR+1;
   PULSE_R<=DELAY(1);
   ELSE PULSE_R<='Z';
   END IF;
END IF;
END IF;
END PROCESS DRIVER;
请大虾指教阿!

相关帖子

沙发
Allen_Lu| | 2007-2-2 17:19 | 只看该作者

RE

个人意见,使用两个模块,一个模块产生脉冲信号,另一个模块对脉冲信号进行计数并于单片机写入CPLD的脉冲数进行比较。应该是比较容易实现的。

使用特权

评论回复
板凳
20032162|  楼主 | 2007-2-2 18:05 | 只看该作者

谢谢了

谢谢了

使用特权

评论回复
地板
20032162|  楼主 | 2007-2-2 19:18 | 只看该作者

再次谢谢了

再次谢谢了,我现在已经实现了

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

10

主题

24

帖子

0

粉丝