打印

请教关于MODELSIM 软件四步仿真出现的问题

[复制链接]
1590|3
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
ATAO涛|  楼主 | 2007-4-29 15:43 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
  各位大侠: 
    用ise7.1i 设计的程序,,在modelsim xe ii 5.7C中仿真,,,
    1, 行为仿真正确,,,simulate behavioral model is right;
         2,转换RTL后仿真也是正确的,,simulate post-translate vhdl model is right;
    3,映射后仿真错误.,,,simulate post-map vhdl model is error;
     错误: 
     # ** Error: (vsim-SDF-3240) vcm_vhd1_map.sdf(16945):    Instance '/uut/clk16mhz_1_317' does not have a generic named 'tperiod_clk_posedge'.
# ** Error: (vsim-SDF-3240) vcm_vhd1_map.sdf(12427): Instance '/uut/clk16mhz_248' does not have a generic named 'tperiod_clk_posedge'.
# ** Error: (vsim-SDF-3240) vcm_vhd1_map.sdf(17952): 
Instance '/uut/cnt33_0' does not have a generic named 'tperiod_clk_posedge'.
    4,布局布线后仿真错误,,,simulate post-place&route vhdl model is error;
   

相关帖子

沙发
limee| | 2007-5-1 23:07 | 只看该作者

请贴代码

使用特权

评论回复
板凳
yb840311| | 2007-5-2 15:01 | 只看该作者

回复

可能代码中出了问题,把代码粘来看一下

使用特权

评论回复
地板
ATAO涛|  楼主 | 2007-5-23 09:32 | 只看该作者

代码 应该没问题

 代码应该没问题,,, 下到FPGA里面,,运行功能是正确的,,,,就是用 MODSIM仿真,,出现了上述问题

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

49

主题

69

帖子

0

粉丝