打印

[请教]今天编写VHDL时,又突然发现了个问题!

[复制链接]
1295|0
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
kukucat|  楼主 | 2007-8-19 15:58 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
上次问了下关于同步和异步复位哪个好的问题!现在有些了解了,今天编程时又发现了个问题:如下这个进程
process(s_clk,con_stop)
begin
if rising_edge(s_clk) then
    if con_stop = '0' cnt1 <=0;      --值不变
    elsif cnt1 = 9 then cnt1 <=0;cy1 <= '1';
    else cnt1 <= cnt1+1;cy1 <= '0';
    end if;
end if;
end process;
这个进程,看程序是同步复位--只有当时钟有跳变时才检测con_stop信号的变化,可当我在进程的首句把con_stop也做为敏感量,这样会不会变成异步复位啊???(同步复位,我看到的好象是con_stop在首句不作为敏感量)

相关帖子

发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

38

主题

206

帖子

0

粉丝