打印

如何描述一个信号的上升沿?

[复制链接]
2051|3
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
风中De舞者|  楼主 | 2007-8-29 15:51 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
沙发
tjsheep| | 2007-9-2 09:35 | 只看该作者

verilog

always @(posedge clk) begin
    ...
end

always @(posedge bit[0] or posedge bit[1] or posedge bit[2] or posedge bit[3]) begin
    ...
end

clk and [3:0]bit 是变量……

hoho

使用特权

评论回复
板凳
伊处| | 2007-9-2 19:44 | 只看该作者

if rising_edge(clk) then

if rising_edge(clk) then也可以判断时钟上升沿

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

32

主题

287

帖子

1

粉丝