打印

CPLD分频问题...

[复制链接]
1316|0
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
tzjz2003|  楼主 | 2007-9-12 18:09 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
请教一个问题,我在做一个CPLD小项目,系统已经连接好了,时钟连接了一个100M的时钟,可是CPLD只能跑47M的频率。
我想在CPLD(Altera的MAX3000A)内部分频,可是发现,数字时序分频也要用到复位信号。。。不知道如何解决。
 
sysCLK为外部时钟,IN_CLK为内部分频时钟
always @(posedge sysCLK)
begin
if(rst)
   begin
      IN_CLK<=1'b0;    //这里用到了复位信号,也就是rst无效后,才能产生给内部用的IN_CLK信号
   end
else 计数分频
end
 
 
always @(posedge IN_CLK)
begin
if(rst)                     //但是这里也用了rst信号,当IN_CLK产生后,rst也就无效了。。。
   begin
      内部寄存器复位
   end
else  内部逻辑
end
 
 
怎么解决呢???
我想用rst产生内部的复位信号IN_RST(比rst信号滞后一拍或两拍),
但感觉这种方法自己创的,有点复杂,总感觉不可靠。。。

相关帖子

发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

2

主题

2

帖子

0

粉丝