打印

哪位大哥帮我看看我的程序为什么无法编译

[复制链接]
1376|1
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
fredniu|  楼主 | 2007-10-12 08:39 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
library ieee;
use ieee.std_logic_1164.all;
entity startmaker is
  port(rst,selt :in  std_logic;
      start    :out std_logic);
end startmaker;

architecture behaver of startmaker is
begin
process
begin

start <= '1';
wait until selt = '1';
start <= '0';

rst_loop:loop
wait until selt = '1';
next rst_loop when (rst = '0');
start <= '1';
end loop rst_loop;

end process;
end behaver;

错误信息是:Error (10398): VHDL Process Statement error at startmaker.vhd(18): Process Statement must contain only one Wait Statement
我看到有些书里面是允许在Process Statement里面有很多Wait Statement,为什么我得quartus II报错呢?

相关帖子

沙发
liangzhitu| | 2007-10-12 09:46 | 只看该作者

回复

 wait until selt = '1'语句在同一进程中出现两次是不允许的,不同判断条件的wait 语句可允许出现两次!!!

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

16

主题

18

帖子

0

粉丝