打印

10个19位数据比较,找出最大值,怎么做比较好?

[复制链接]
1356|3
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
zhang_44|  楼主 | 2007-11-10 20:35 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
需要在一个时钟周期内(125MHz)完成,我想进行并行比较,没找到例子,自己写了一个,思路就是1和2比,较大的一个和3比,再得到一个和4比,依次类推。时序仿真结果不太稳定,最大值的位置应该在0-9内,但是结果经常出现10-15,不知道错在哪儿。另外有没有更好的办法能推荐一下,谢谢。
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;

entity max19_10_comb is
port(
    clock:in std_logic;
    data0,data1,data2,data3,data4,data5,data6,data7:in std_logic_vector(18 downto 0);
    data8,data9:in std_logic_vector(18 downto 0);
    result_value:out std_logic_vector(18 downto 0);
    result_index:out std_logic_vector(3 downto 0)
    );
end max19_10_comb;

architecture beha of max19_10_comb is
    signal index0:std_logic_vector(3 downto 0):="0000";
    signal index1:std_logic_vector(3 downto 0):="0001";
    signal index2:std_logic_vector(3 downto 0):="0010";
    signal index3:std_logic_vector(3 downto 0):="0011";
    signal index4:std_logic_vector(3 downto 0):="0100";
    signal index5:std_logic_vector(3 downto 0):="0101";
    signal index6:std_logic_vector(3 downto 0):="0110";
    signal index7:std_logic_vector(3 downto 0):="0111";
    signal index8:std_logic_vector(3 downto 0):="1000";
    signal index9:std_logic_vector(3 downto 0):="1001";
    signal result01,result02,result03,result04,result05,result06,result07:std_logic_vector(18 downto 0);
    signal result08,result09:std_logic_vector(18 downto 0);
    signal index01,index02,index03,index04,index05,index06,index07:std_logic_vector(3 downto 0);
    signal index08,index09:std_logic_vector(3 downto 0);

begin
    process(data0,data1,data2,data3,data4,data5,data6,data7,data8,data9,result01,result02,result03,result04,result05,result06,result07,result08,result09,index01,index02,index03,index04,index05,index06,index07,index08,index09)
    begin
        if data0 > data1 then
            result01 <= data0;
            index01 <= index0;
        else
            result01 <= data1;
            index01 <= index1;
        end if;
       
        if result01 > data2 then
            result02 <= result01;
            index02 <= index01;
        else
            result02 <= data2;
            index02 <= index2;
        end if;
       
        if result02 > data3 then
            result03 <= result02;
            index03 <= index02;
        else
            result03 <= data3;
            index03 <= index3;
        end if;
       
        if result03 > data4 then
            result04 <= result03;
            index04 <= index03;
        else
            result04 <= data4;
            index04 <= index4;
        end if;
       
        if result04 > data5 then
            result05 <= result04;
            index05 <= index04;
        else
            result05 <= data5;
            index05 <= index5;
        end if;
       
        if result05 > data6 then
            result06 <= result05;
            index06 <= index05;
        else
            result06 <= data6;
            index06 <= index6;
        end if;
       
        if result06 > data7 then
            result07 <= result06;
            index07 <= index06;
        else
            result07 <= data7;
            index07 <= index7;
        end if;
       
        if result07 > data8 then
            result08 <= result07;
            index08 <= index07;
        else
            result08 <= data8;
            index08 <= index8;
        end if;
       
        if result08 > data9 then
            result09 <= result08;
            index09 <= index08;
        else
            result09 <= data9;
            index09 <= index9;
        end if;

    end process;
   
    process(clock)
    begin
        if clock'EVENT and clock = '1' then
            result_value <= result09;
            result_index <= index09;
        end if;
    end process;
end beha;

相关帖子

沙发
jzt369| | 2007-11-11 19:12 | 只看该作者

!

没有尝试过!组合电路比较复杂吧,如果不影响实时性的话,可以多次比较

使用特权

评论回复
板凳
zhang123| | 2007-11-13 13:36 | 只看该作者

冒泡!1和2比,较大的一个和3比,再得到一个和4比

这个显然不是一次比较了。较大的一个和3比,是第二次比较过程。

使用特权

评论回复
地板
风中De舞者| | 2007-11-20 11:42 | 只看该作者

你这根本不可能在一个时钟周期内完成比较

结果根本就是错的

信号的赋值都需要在1个时钟周期以后才能赋值

        if data0 > data1 then
            result01 <= data0;
            index01 <= index0;
        else
            result01 <= data1;
            index01 <= index1;
        end if;
       
        if result01 > data2 then
            result02 <= result01;
            index02 <= index01;
        else
            result02 <= data2;
            index02 <= index2;
        end if;

你看好  第2个IF里的RESULT01根本就不是第1个IF里比较出来的DATA0和DATA1里面的大者  而是寄存器RESULT01里的初值  RESULT01里的值需要在第1个IF语句执行后再过1个时钟周期才变成DATA0和DATA1里面的大者  

因此你这个程序得出的结果本身就是错的  

至于 result_index 也是一样  刚开始应该显示的是寄存器里的初值  1个时钟后显示最大值的序号  至于为什么不定  是因为你没有给 result_index 寄存器初值  所以寄存器初值不定

另外你第1个进程的敏感变量太多了  如果需要在改变数据后立即执行比较的话只需要写data0,data1,data2,data3,data4,data5,data6,data7,data8,data9就可以了  没必要把后面的也写上  因为后面的变量不止改变一次  这样重起进程纯属做无用功  而且可能出错  如果可以的话我还建议把频率调低一点

以上纯属个人意见  

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

4

主题

3

帖子

0

粉丝