打印

VHDL做FIFO时的一个小问题~ 使用MAX+PLUS2

[复制链接]
1677|6
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
lxyscls|  楼主 | 2008-2-29 16:29 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
沙发
lxyscls|  楼主 | 2008-2-29 16:45 | 只看该作者

1

我把赋值直接改成给“000000000"就通过了,请问是什么原因呢?

因为在下面虽然没有循环,但是仍然可以通过(others=>'0')赋值给std_logic_vector类信号的~

使用特权

评论回复
板凳
zhang123| | 2008-2-29 17:58 | 只看该作者

fifo(i)<='0';

或者fifo(8 downto 0)<=(others=>'0');

使用特权

评论回复
地板
lxyscls|  楼主 | 2008-2-29 18:44 | 只看该作者

11

恩,试一下~
但是俺已经用了loop了啊~
满奇怪~

使用特权

评论回复
5
lxyscls|  楼主 | 2008-2-29 18:48 | 只看该作者

1

3楼的试了,不行~

使用特权

评论回复
6
ttx190114| | 2008-2-29 23:49 | 只看该作者

maxplus不支持数组?

maxplus不支持数组?

使用特权

评论回复
7
lxyscls|  楼主 | 2008-3-3 17:14 | 只看该作者

回六楼

貌似不是不支持数组~

好象是在LOOP里面不支持这种方式~

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

30

主题

89

帖子

1

粉丝