打印

求一段VHDL程序

[复制链接]
1854|11
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
沙发
xwj| | 2009-8-13 17:42 | 只看该作者
C=(A^B)&A

使用特权

评论回复
板凳
xwj| | 2009-8-13 17:43 | 只看该作者
只是你这个图表达得不全面

使用特权

评论回复
地板
byeyear| | 2009-8-13 18:08 | 只看该作者
QEI?
google一下,会有很多的。

使用特权

评论回复
5
zhangjie5168|  楼主 | 2009-8-14 09:19 | 只看该作者
谢各位,我试一下

使用特权

评论回复
6
zhangjie5168|  楼主 | 2009-8-14 09:36 | 只看该作者

那是当B脉冲移到这个位置时,应该怎么写?

使用特权

评论回复
7
明空| | 2009-8-19 09:08 | 只看该作者
不知道有没有时钟同步,有时钟就比较简单了

使用特权

评论回复
8
sassos| | 2009-8-19 09:57 | 只看该作者
7楼 c <= a or (not b);

使用特权

评论回复
9
一只小蜗牛| | 2009-8-19 10:42 | 只看该作者
爬过,无语。。。

使用特权

评论回复
10
auzxj| | 2009-8-19 11:04 | 只看该作者
这么简单的时序,无语中。。。。。
什么是VHDL?

使用特权

评论回复
11
一只小蜗牛| | 2009-8-19 17:08 | 只看该作者
不到迫不得已,最好不要用这种电路。
能用同步还是用同步。

使用特权

评论回复
12
zhangjie5168|  楼主 | 2009-8-20 08:18 | 只看该作者
先谢过各位了,可能是我的没表达清楚,这个时序图我是做为鉴相器的一部份,AB是两个输入信号,它们的相位差就是两个信号上升沿的之间的宽度,也就是C的高电平的宽度。第一个时序和第二个时序是连续的。
  如果用的VHDL来检测两个上升沿控制C的输出,但同一个进程不能有两个时钟检测,所以得换另一种方法来达到的同样效果

使用特权

评论回复
发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

14

主题

41

帖子

1

粉丝