打印

求补器代码问题

[复制链接]
1625|0
手机看帖
扫描二维码
随时随地手机跟帖
跳转到指定楼层
楼主
耦合|  楼主 | 2009-9-22 13:04 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
LIBRARY IEEE;
USE        IEEE.STD_LOGIC_1164.ALL;

ENTITY  complement    is
PORT    (datain    : IN STD_LOGIC_VECTOR( 15 DOWNTO 0);
         dataout : OUT STD_LOGIC_VECTOR( 15 DOWNTO 0));
END     complement;

ARCHITECTURE     a    of    complement is
SIGNAL    temp    : STD_LOGIC_VECTOR( 15 DOWNTO 0);
BEGIN
    temp <= NOT datain;
    dataout <= temp + "0000000000000001";
END a;
这段代码问题出在哪?
下面是maxplus给出的错误原因:
13行,can not interpret subprogram call .

相关帖子

发新帖 我要提问
您需要登录后才可以回帖 登录 | 注册

本版积分规则

5

主题

12

帖子

0

粉丝